The official Mbed 2 C/C++ SDK provides the software platform and libraries to build your applications.

Dependents:   hello SerialTestv11 SerialTestv12 Sierpinski ... more

mbed 2

This is the mbed 2 library. If you'd like to learn about Mbed OS please see the mbed-os docs.

Committer:
AnnaBridge
Date:
Fri May 26 12:30:20 2017 +0100
Revision:
143:86740a56073b
Parent:
139:856d2700e60b
Child:
145:64910690c574
Release 143 of the mbed library.

Who changed what in which revision?

UserRevisionLine numberNew contents of line
<> 139:856d2700e60b 1 /**************************************************************************//**
<> 139:856d2700e60b 2 * @file core_cm7.h
<> 139:856d2700e60b 3 * @brief CMSIS Cortex-M7 Core Peripheral Access Layer Header File
<> 139:856d2700e60b 4 * @version V4.10
<> 139:856d2700e60b 5 * @date 18. March 2015
<> 139:856d2700e60b 6 *
<> 139:856d2700e60b 7 * @note
<> 139:856d2700e60b 8 *
<> 139:856d2700e60b 9 ******************************************************************************/
<> 139:856d2700e60b 10 /* Copyright (c) 2009 - 2015 ARM LIMITED
<> 139:856d2700e60b 11
<> 139:856d2700e60b 12 All rights reserved.
<> 139:856d2700e60b 13 Redistribution and use in source and binary forms, with or without
<> 139:856d2700e60b 14 modification, are permitted provided that the following conditions are met:
<> 139:856d2700e60b 15 - Redistributions of source code must retain the above copyright
<> 139:856d2700e60b 16 notice, this list of conditions and the following disclaimer.
<> 139:856d2700e60b 17 - Redistributions in binary form must reproduce the above copyright
<> 139:856d2700e60b 18 notice, this list of conditions and the following disclaimer in the
<> 139:856d2700e60b 19 documentation and/or other materials provided with the distribution.
<> 139:856d2700e60b 20 - Neither the name of ARM nor the names of its contributors may be used
<> 139:856d2700e60b 21 to endorse or promote products derived from this software without
<> 139:856d2700e60b 22 specific prior written permission.
<> 139:856d2700e60b 23 *
<> 139:856d2700e60b 24 THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
<> 139:856d2700e60b 25 AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
<> 139:856d2700e60b 26 IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
<> 139:856d2700e60b 27 ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE
<> 139:856d2700e60b 28 LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
<> 139:856d2700e60b 29 CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
<> 139:856d2700e60b 30 SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
<> 139:856d2700e60b 31 INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
<> 139:856d2700e60b 32 CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
<> 139:856d2700e60b 33 ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
<> 139:856d2700e60b 34 POSSIBILITY OF SUCH DAMAGE.
<> 139:856d2700e60b 35 ---------------------------------------------------------------------------*/
<> 139:856d2700e60b 36
<> 139:856d2700e60b 37
<> 139:856d2700e60b 38 #if defined ( __ICCARM__ )
<> 139:856d2700e60b 39 #pragma system_include /* treat file as system include file for MISRA check */
<> 139:856d2700e60b 40 #endif
<> 139:856d2700e60b 41
<> 139:856d2700e60b 42 #ifndef __CORE_CM7_H_GENERIC
<> 139:856d2700e60b 43 #define __CORE_CM7_H_GENERIC
<> 139:856d2700e60b 44
<> 139:856d2700e60b 45 #ifdef __cplusplus
<> 139:856d2700e60b 46 extern "C" {
<> 139:856d2700e60b 47 #endif
<> 139:856d2700e60b 48
<> 139:856d2700e60b 49 /** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions
<> 139:856d2700e60b 50 CMSIS violates the following MISRA-C:2004 rules:
<> 139:856d2700e60b 51
<> 139:856d2700e60b 52 \li Required Rule 8.5, object/function definition in header file.<br>
<> 139:856d2700e60b 53 Function definitions in header files are used to allow 'inlining'.
<> 139:856d2700e60b 54
<> 139:856d2700e60b 55 \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.<br>
<> 139:856d2700e60b 56 Unions are used for effective representation of core registers.
<> 139:856d2700e60b 57
<> 139:856d2700e60b 58 \li Advisory Rule 19.7, Function-like macro defined.<br>
<> 139:856d2700e60b 59 Function-like macros are used to allow more efficient code.
<> 139:856d2700e60b 60 */
<> 139:856d2700e60b 61
<> 139:856d2700e60b 62
<> 139:856d2700e60b 63 /*******************************************************************************
<> 139:856d2700e60b 64 * CMSIS definitions
<> 139:856d2700e60b 65 ******************************************************************************/
<> 139:856d2700e60b 66 /** \ingroup Cortex_M7
<> 139:856d2700e60b 67 @{
<> 139:856d2700e60b 68 */
<> 139:856d2700e60b 69
<> 139:856d2700e60b 70 /* CMSIS CM7 definitions */
<> 139:856d2700e60b 71 #define __CM7_CMSIS_VERSION_MAIN (0x04) /*!< [31:16] CMSIS HAL main version */
<> 139:856d2700e60b 72 #define __CM7_CMSIS_VERSION_SUB (0x00) /*!< [15:0] CMSIS HAL sub version */
<> 139:856d2700e60b 73 #define __CM7_CMSIS_VERSION ((__CM7_CMSIS_VERSION_MAIN << 16) | \
<> 139:856d2700e60b 74 __CM7_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */
<> 139:856d2700e60b 75
<> 139:856d2700e60b 76 #define __CORTEX_M (0x07) /*!< Cortex-M Core */
<> 139:856d2700e60b 77
<> 139:856d2700e60b 78
<> 139:856d2700e60b 79 #if defined ( __CC_ARM )
<> 139:856d2700e60b 80 #define __ASM __asm /*!< asm keyword for ARM Compiler */
<> 139:856d2700e60b 81 #define __INLINE __inline /*!< inline keyword for ARM Compiler */
<> 139:856d2700e60b 82 #define __STATIC_INLINE static __inline
<> 139:856d2700e60b 83
<> 139:856d2700e60b 84 #elif defined ( __GNUC__ )
<> 139:856d2700e60b 85 #define __ASM __asm /*!< asm keyword for GNU Compiler */
<> 139:856d2700e60b 86 #define __INLINE inline /*!< inline keyword for GNU Compiler */
<> 139:856d2700e60b 87 #define __STATIC_INLINE static inline
<> 139:856d2700e60b 88
<> 139:856d2700e60b 89 #elif defined ( __ICCARM__ )
<> 139:856d2700e60b 90 #define __ASM __asm /*!< asm keyword for IAR Compiler */
<> 139:856d2700e60b 91 #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */
<> 139:856d2700e60b 92 #define __STATIC_INLINE static inline
<> 139:856d2700e60b 93
<> 139:856d2700e60b 94 #elif defined ( __TMS470__ )
<> 139:856d2700e60b 95 #define __ASM __asm /*!< asm keyword for TI CCS Compiler */
<> 139:856d2700e60b 96 #define __STATIC_INLINE static inline
<> 139:856d2700e60b 97
<> 139:856d2700e60b 98 #elif defined ( __TASKING__ )
<> 139:856d2700e60b 99 #define __ASM __asm /*!< asm keyword for TASKING Compiler */
<> 139:856d2700e60b 100 #define __INLINE inline /*!< inline keyword for TASKING Compiler */
<> 139:856d2700e60b 101 #define __STATIC_INLINE static inline
<> 139:856d2700e60b 102
<> 139:856d2700e60b 103 #elif defined ( __CSMC__ )
<> 139:856d2700e60b 104 #define __packed
<> 139:856d2700e60b 105 #define __ASM _asm /*!< asm keyword for COSMIC Compiler */
<> 139:856d2700e60b 106 #define __INLINE inline /*use -pc99 on compile line !< inline keyword for COSMIC Compiler */
<> 139:856d2700e60b 107 #define __STATIC_INLINE static inline
<> 139:856d2700e60b 108
<> 139:856d2700e60b 109 #endif
<> 139:856d2700e60b 110
<> 139:856d2700e60b 111 /** __FPU_USED indicates whether an FPU is used or not.
<> 139:856d2700e60b 112 For this, __FPU_PRESENT has to be checked prior to making use of FPU specific registers and functions.
<> 139:856d2700e60b 113 */
<> 139:856d2700e60b 114 #if defined ( __CC_ARM )
<> 139:856d2700e60b 115 #if defined __TARGET_FPU_VFP
<> 139:856d2700e60b 116 #if (__FPU_PRESENT == 1)
<> 139:856d2700e60b 117 #define __FPU_USED 1
<> 139:856d2700e60b 118 #else
<> 139:856d2700e60b 119 #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
<> 139:856d2700e60b 120 #define __FPU_USED 0
<> 139:856d2700e60b 121 #endif
<> 139:856d2700e60b 122 #else
<> 139:856d2700e60b 123 #define __FPU_USED 0
<> 139:856d2700e60b 124 #endif
<> 139:856d2700e60b 125
<> 139:856d2700e60b 126 #elif defined ( __GNUC__ )
<> 139:856d2700e60b 127 #if defined (__VFP_FP__) && !defined(__SOFTFP__)
<> 139:856d2700e60b 128 #if (__FPU_PRESENT == 1)
<> 139:856d2700e60b 129 #define __FPU_USED 1
<> 139:856d2700e60b 130 #else
<> 139:856d2700e60b 131 #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
<> 139:856d2700e60b 132 #define __FPU_USED 0
<> 139:856d2700e60b 133 #endif
<> 139:856d2700e60b 134 #else
<> 139:856d2700e60b 135 #define __FPU_USED 0
<> 139:856d2700e60b 136 #endif
<> 139:856d2700e60b 137
<> 139:856d2700e60b 138 #elif defined ( __ICCARM__ )
<> 139:856d2700e60b 139 #if defined __ARMVFP__
<> 139:856d2700e60b 140 #if (__FPU_PRESENT == 1)
<> 139:856d2700e60b 141 #define __FPU_USED 1
<> 139:856d2700e60b 142 #else
<> 139:856d2700e60b 143 #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
<> 139:856d2700e60b 144 #define __FPU_USED 0
<> 139:856d2700e60b 145 #endif
<> 139:856d2700e60b 146 #else
<> 139:856d2700e60b 147 #define __FPU_USED 0
<> 139:856d2700e60b 148 #endif
<> 139:856d2700e60b 149
<> 139:856d2700e60b 150 #elif defined ( __TMS470__ )
<> 139:856d2700e60b 151 #if defined __TI_VFP_SUPPORT__
<> 139:856d2700e60b 152 #if (__FPU_PRESENT == 1)
<> 139:856d2700e60b 153 #define __FPU_USED 1
<> 139:856d2700e60b 154 #else
<> 139:856d2700e60b 155 #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
<> 139:856d2700e60b 156 #define __FPU_USED 0
<> 139:856d2700e60b 157 #endif
<> 139:856d2700e60b 158 #else
<> 139:856d2700e60b 159 #define __FPU_USED 0
<> 139:856d2700e60b 160 #endif
<> 139:856d2700e60b 161
<> 139:856d2700e60b 162 #elif defined ( __TASKING__ )
<> 139:856d2700e60b 163 #if defined __FPU_VFP__
<> 139:856d2700e60b 164 #if (__FPU_PRESENT == 1)
<> 139:856d2700e60b 165 #define __FPU_USED 1
<> 139:856d2700e60b 166 #else
<> 139:856d2700e60b 167 #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
<> 139:856d2700e60b 168 #define __FPU_USED 0
<> 139:856d2700e60b 169 #endif
<> 139:856d2700e60b 170 #else
<> 139:856d2700e60b 171 #define __FPU_USED 0
<> 139:856d2700e60b 172 #endif
<> 139:856d2700e60b 173
<> 139:856d2700e60b 174 #elif defined ( __CSMC__ ) /* Cosmic */
<> 139:856d2700e60b 175 #if ( __CSMC__ & 0x400) // FPU present for parser
<> 139:856d2700e60b 176 #if (__FPU_PRESENT == 1)
<> 139:856d2700e60b 177 #define __FPU_USED 1
<> 139:856d2700e60b 178 #else
<> 139:856d2700e60b 179 #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
<> 139:856d2700e60b 180 #define __FPU_USED 0
<> 139:856d2700e60b 181 #endif
<> 139:856d2700e60b 182 #else
<> 139:856d2700e60b 183 #define __FPU_USED 0
<> 139:856d2700e60b 184 #endif
<> 139:856d2700e60b 185 #endif
<> 139:856d2700e60b 186
<> 139:856d2700e60b 187 #include <stdint.h> /* standard types definitions */
<> 139:856d2700e60b 188 #include <core_cmInstr.h> /* Core Instruction Access */
<> 139:856d2700e60b 189 #include <core_cmFunc.h> /* Core Function Access */
<> 139:856d2700e60b 190 #include <core_cmSimd.h> /* Compiler specific SIMD Intrinsics */
<> 139:856d2700e60b 191
<> 139:856d2700e60b 192 #ifdef __cplusplus
<> 139:856d2700e60b 193 }
<> 139:856d2700e60b 194 #endif
<> 139:856d2700e60b 195
<> 139:856d2700e60b 196 #endif /* __CORE_CM7_H_GENERIC */
<> 139:856d2700e60b 197
<> 139:856d2700e60b 198 #ifndef __CMSIS_GENERIC
<> 139:856d2700e60b 199
<> 139:856d2700e60b 200 #ifndef __CORE_CM7_H_DEPENDANT
<> 139:856d2700e60b 201 #define __CORE_CM7_H_DEPENDANT
<> 139:856d2700e60b 202
<> 139:856d2700e60b 203 #ifdef __cplusplus
<> 139:856d2700e60b 204 extern "C" {
<> 139:856d2700e60b 205 #endif
<> 139:856d2700e60b 206
<> 139:856d2700e60b 207 /* check device defines and use defaults */
<> 139:856d2700e60b 208 #if defined __CHECK_DEVICE_DEFINES
<> 139:856d2700e60b 209 #ifndef __CM7_REV
<> 139:856d2700e60b 210 #define __CM7_REV 0x0000
<> 139:856d2700e60b 211 #warning "__CM7_REV not defined in device header file; using default!"
<> 139:856d2700e60b 212 #endif
<> 139:856d2700e60b 213
<> 139:856d2700e60b 214 #ifndef __FPU_PRESENT
<> 139:856d2700e60b 215 #define __FPU_PRESENT 0
<> 139:856d2700e60b 216 #warning "__FPU_PRESENT not defined in device header file; using default!"
<> 139:856d2700e60b 217 #endif
<> 139:856d2700e60b 218
<> 139:856d2700e60b 219 #ifndef __MPU_PRESENT
<> 139:856d2700e60b 220 #define __MPU_PRESENT 0
<> 139:856d2700e60b 221 #warning "__MPU_PRESENT not defined in device header file; using default!"
<> 139:856d2700e60b 222 #endif
<> 139:856d2700e60b 223
<> 139:856d2700e60b 224 #ifndef __ICACHE_PRESENT
<> 139:856d2700e60b 225 #define __ICACHE_PRESENT 0
<> 139:856d2700e60b 226 #warning "__ICACHE_PRESENT not defined in device header file; using default!"
<> 139:856d2700e60b 227 #endif
<> 139:856d2700e60b 228
<> 139:856d2700e60b 229 #ifndef __DCACHE_PRESENT
<> 139:856d2700e60b 230 #define __DCACHE_PRESENT 0
<> 139:856d2700e60b 231 #warning "__DCACHE_PRESENT not defined in device header file; using default!"
<> 139:856d2700e60b 232 #endif
<> 139:856d2700e60b 233
<> 139:856d2700e60b 234 #ifndef __DTCM_PRESENT
<> 139:856d2700e60b 235 #define __DTCM_PRESENT 0
<> 139:856d2700e60b 236 #warning "__DTCM_PRESENT not defined in device header file; using default!"
<> 139:856d2700e60b 237 #endif
<> 139:856d2700e60b 238
<> 139:856d2700e60b 239 #ifndef __NVIC_PRIO_BITS
<> 139:856d2700e60b 240 #define __NVIC_PRIO_BITS 3
<> 139:856d2700e60b 241 #warning "__NVIC_PRIO_BITS not defined in device header file; using default!"
<> 139:856d2700e60b 242 #endif
<> 139:856d2700e60b 243
<> 139:856d2700e60b 244 #ifndef __Vendor_SysTickConfig
<> 139:856d2700e60b 245 #define __Vendor_SysTickConfig 0
<> 139:856d2700e60b 246 #warning "__Vendor_SysTickConfig not defined in device header file; using default!"
<> 139:856d2700e60b 247 #endif
<> 139:856d2700e60b 248 #endif
<> 139:856d2700e60b 249
<> 139:856d2700e60b 250 /* IO definitions (access restrictions to peripheral registers) */
<> 139:856d2700e60b 251 /**
<> 139:856d2700e60b 252 \defgroup CMSIS_glob_defs CMSIS Global Defines
<> 139:856d2700e60b 253
<> 139:856d2700e60b 254 <strong>IO Type Qualifiers</strong> are used
<> 139:856d2700e60b 255 \li to specify the access to peripheral variables.
<> 139:856d2700e60b 256 \li for automatic generation of peripheral register debug information.
<> 139:856d2700e60b 257 */
<> 139:856d2700e60b 258 #ifdef __cplusplus
<> 139:856d2700e60b 259 #define __I volatile /*!< Defines 'read only' permissions */
<> 139:856d2700e60b 260 #else
<> 139:856d2700e60b 261 #define __I volatile const /*!< Defines 'read only' permissions */
<> 139:856d2700e60b 262 #endif
<> 139:856d2700e60b 263 #define __O volatile /*!< Defines 'write only' permissions */
<> 139:856d2700e60b 264 #define __IO volatile /*!< Defines 'read / write' permissions */
<> 139:856d2700e60b 265
<> 139:856d2700e60b 266 #ifdef __cplusplus
<> 139:856d2700e60b 267 #define __IM volatile /*!< Defines 'read only' permissions */
<> 139:856d2700e60b 268 #else
<> 139:856d2700e60b 269 #define __IM volatile const /*!< Defines 'read only' permissions */
<> 139:856d2700e60b 270 #endif
<> 139:856d2700e60b 271 #define __OM volatile /*!< Defines 'write only' permissions */
<> 139:856d2700e60b 272 #define __IOM volatile /*!< Defines 'read / write' permissions */
<> 139:856d2700e60b 273
<> 139:856d2700e60b 274 /*@} end of group Cortex_M7 */
<> 139:856d2700e60b 275
<> 139:856d2700e60b 276
<> 139:856d2700e60b 277
<> 139:856d2700e60b 278 /*******************************************************************************
<> 139:856d2700e60b 279 * Register Abstraction
<> 139:856d2700e60b 280 Core Register contain:
<> 139:856d2700e60b 281 - Core Register
<> 139:856d2700e60b 282 - Core NVIC Register
<> 139:856d2700e60b 283 - Core SCB Register
<> 139:856d2700e60b 284 - Core SysTick Register
<> 139:856d2700e60b 285 - Core Debug Register
<> 139:856d2700e60b 286 - Core MPU Register
<> 139:856d2700e60b 287 - Core FPU Register
<> 139:856d2700e60b 288 ******************************************************************************/
<> 139:856d2700e60b 289 /** \defgroup CMSIS_core_register Defines and Type Definitions
<> 139:856d2700e60b 290 \brief Type definitions and defines for Cortex-M processor based devices.
<> 139:856d2700e60b 291 */
<> 139:856d2700e60b 292
<> 139:856d2700e60b 293 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 294 \defgroup CMSIS_CORE Status and Control Registers
<> 139:856d2700e60b 295 \brief Core Register type definitions.
<> 139:856d2700e60b 296 @{
<> 139:856d2700e60b 297 */
<> 139:856d2700e60b 298
<> 139:856d2700e60b 299 /** \brief Union type to access the Application Program Status Register (APSR).
<> 139:856d2700e60b 300 */
<> 139:856d2700e60b 301 typedef union
<> 139:856d2700e60b 302 {
<> 139:856d2700e60b 303 struct
<> 139:856d2700e60b 304 {
<> 139:856d2700e60b 305 uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */
<> 139:856d2700e60b 306 uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */
<> 139:856d2700e60b 307 uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */
<> 139:856d2700e60b 308 uint32_t Q:1; /*!< bit: 27 Saturation condition flag */
<> 139:856d2700e60b 309 uint32_t V:1; /*!< bit: 28 Overflow condition code flag */
<> 139:856d2700e60b 310 uint32_t C:1; /*!< bit: 29 Carry condition code flag */
<> 139:856d2700e60b 311 uint32_t Z:1; /*!< bit: 30 Zero condition code flag */
<> 139:856d2700e60b 312 uint32_t N:1; /*!< bit: 31 Negative condition code flag */
<> 139:856d2700e60b 313 } b; /*!< Structure used for bit access */
<> 139:856d2700e60b 314 uint32_t w; /*!< Type used for word access */
<> 139:856d2700e60b 315 } APSR_Type;
<> 139:856d2700e60b 316
<> 139:856d2700e60b 317 /* APSR Register Definitions */
<> 139:856d2700e60b 318 #define APSR_N_Pos 31 /*!< APSR: N Position */
<> 139:856d2700e60b 319 #define APSR_N_Msk (1UL << APSR_N_Pos) /*!< APSR: N Mask */
<> 139:856d2700e60b 320
<> 139:856d2700e60b 321 #define APSR_Z_Pos 30 /*!< APSR: Z Position */
<> 139:856d2700e60b 322 #define APSR_Z_Msk (1UL << APSR_Z_Pos) /*!< APSR: Z Mask */
<> 139:856d2700e60b 323
<> 139:856d2700e60b 324 #define APSR_C_Pos 29 /*!< APSR: C Position */
<> 139:856d2700e60b 325 #define APSR_C_Msk (1UL << APSR_C_Pos) /*!< APSR: C Mask */
<> 139:856d2700e60b 326
<> 139:856d2700e60b 327 #define APSR_V_Pos 28 /*!< APSR: V Position */
<> 139:856d2700e60b 328 #define APSR_V_Msk (1UL << APSR_V_Pos) /*!< APSR: V Mask */
<> 139:856d2700e60b 329
<> 139:856d2700e60b 330 #define APSR_Q_Pos 27 /*!< APSR: Q Position */
<> 139:856d2700e60b 331 #define APSR_Q_Msk (1UL << APSR_Q_Pos) /*!< APSR: Q Mask */
<> 139:856d2700e60b 332
<> 139:856d2700e60b 333 #define APSR_GE_Pos 16 /*!< APSR: GE Position */
<> 139:856d2700e60b 334 #define APSR_GE_Msk (0xFUL << APSR_GE_Pos) /*!< APSR: GE Mask */
<> 139:856d2700e60b 335
<> 139:856d2700e60b 336
<> 139:856d2700e60b 337 /** \brief Union type to access the Interrupt Program Status Register (IPSR).
<> 139:856d2700e60b 338 */
<> 139:856d2700e60b 339 typedef union
<> 139:856d2700e60b 340 {
<> 139:856d2700e60b 341 struct
<> 139:856d2700e60b 342 {
<> 139:856d2700e60b 343 uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */
<> 139:856d2700e60b 344 uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */
<> 139:856d2700e60b 345 } b; /*!< Structure used for bit access */
<> 139:856d2700e60b 346 uint32_t w; /*!< Type used for word access */
<> 139:856d2700e60b 347 } IPSR_Type;
<> 139:856d2700e60b 348
<> 139:856d2700e60b 349 /* IPSR Register Definitions */
<> 139:856d2700e60b 350 #define IPSR_ISR_Pos 0 /*!< IPSR: ISR Position */
<> 139:856d2700e60b 351 #define IPSR_ISR_Msk (0x1FFUL /*<< IPSR_ISR_Pos*/) /*!< IPSR: ISR Mask */
<> 139:856d2700e60b 352
<> 139:856d2700e60b 353
<> 139:856d2700e60b 354 /** \brief Union type to access the Special-Purpose Program Status Registers (xPSR).
<> 139:856d2700e60b 355 */
<> 139:856d2700e60b 356 typedef union
<> 139:856d2700e60b 357 {
<> 139:856d2700e60b 358 struct
<> 139:856d2700e60b 359 {
<> 139:856d2700e60b 360 uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */
<> 139:856d2700e60b 361 uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */
<> 139:856d2700e60b 362 uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */
<> 139:856d2700e60b 363 uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */
<> 139:856d2700e60b 364 uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */
<> 139:856d2700e60b 365 uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */
<> 139:856d2700e60b 366 uint32_t Q:1; /*!< bit: 27 Saturation condition flag */
<> 139:856d2700e60b 367 uint32_t V:1; /*!< bit: 28 Overflow condition code flag */
<> 139:856d2700e60b 368 uint32_t C:1; /*!< bit: 29 Carry condition code flag */
<> 139:856d2700e60b 369 uint32_t Z:1; /*!< bit: 30 Zero condition code flag */
<> 139:856d2700e60b 370 uint32_t N:1; /*!< bit: 31 Negative condition code flag */
<> 139:856d2700e60b 371 } b; /*!< Structure used for bit access */
<> 139:856d2700e60b 372 uint32_t w; /*!< Type used for word access */
<> 139:856d2700e60b 373 } xPSR_Type;
<> 139:856d2700e60b 374
<> 139:856d2700e60b 375 /* xPSR Register Definitions */
<> 139:856d2700e60b 376 #define xPSR_N_Pos 31 /*!< xPSR: N Position */
<> 139:856d2700e60b 377 #define xPSR_N_Msk (1UL << xPSR_N_Pos) /*!< xPSR: N Mask */
<> 139:856d2700e60b 378
<> 139:856d2700e60b 379 #define xPSR_Z_Pos 30 /*!< xPSR: Z Position */
<> 139:856d2700e60b 380 #define xPSR_Z_Msk (1UL << xPSR_Z_Pos) /*!< xPSR: Z Mask */
<> 139:856d2700e60b 381
<> 139:856d2700e60b 382 #define xPSR_C_Pos 29 /*!< xPSR: C Position */
<> 139:856d2700e60b 383 #define xPSR_C_Msk (1UL << xPSR_C_Pos) /*!< xPSR: C Mask */
<> 139:856d2700e60b 384
<> 139:856d2700e60b 385 #define xPSR_V_Pos 28 /*!< xPSR: V Position */
<> 139:856d2700e60b 386 #define xPSR_V_Msk (1UL << xPSR_V_Pos) /*!< xPSR: V Mask */
<> 139:856d2700e60b 387
<> 139:856d2700e60b 388 #define xPSR_Q_Pos 27 /*!< xPSR: Q Position */
<> 139:856d2700e60b 389 #define xPSR_Q_Msk (1UL << xPSR_Q_Pos) /*!< xPSR: Q Mask */
<> 139:856d2700e60b 390
<> 139:856d2700e60b 391 #define xPSR_IT_Pos 25 /*!< xPSR: IT Position */
<> 139:856d2700e60b 392 #define xPSR_IT_Msk (3UL << xPSR_IT_Pos) /*!< xPSR: IT Mask */
<> 139:856d2700e60b 393
<> 139:856d2700e60b 394 #define xPSR_T_Pos 24 /*!< xPSR: T Position */
<> 139:856d2700e60b 395 #define xPSR_T_Msk (1UL << xPSR_T_Pos) /*!< xPSR: T Mask */
<> 139:856d2700e60b 396
<> 139:856d2700e60b 397 #define xPSR_GE_Pos 16 /*!< xPSR: GE Position */
<> 139:856d2700e60b 398 #define xPSR_GE_Msk (0xFUL << xPSR_GE_Pos) /*!< xPSR: GE Mask */
<> 139:856d2700e60b 399
<> 139:856d2700e60b 400 #define xPSR_ISR_Pos 0 /*!< xPSR: ISR Position */
<> 139:856d2700e60b 401 #define xPSR_ISR_Msk (0x1FFUL /*<< xPSR_ISR_Pos*/) /*!< xPSR: ISR Mask */
<> 139:856d2700e60b 402
<> 139:856d2700e60b 403
<> 139:856d2700e60b 404 /** \brief Union type to access the Control Registers (CONTROL).
<> 139:856d2700e60b 405 */
<> 139:856d2700e60b 406 typedef union
<> 139:856d2700e60b 407 {
<> 139:856d2700e60b 408 struct
<> 139:856d2700e60b 409 {
<> 139:856d2700e60b 410 uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */
<> 139:856d2700e60b 411 uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */
<> 139:856d2700e60b 412 uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */
<> 139:856d2700e60b 413 uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */
<> 139:856d2700e60b 414 } b; /*!< Structure used for bit access */
<> 139:856d2700e60b 415 uint32_t w; /*!< Type used for word access */
<> 139:856d2700e60b 416 } CONTROL_Type;
<> 139:856d2700e60b 417
<> 139:856d2700e60b 418 /* CONTROL Register Definitions */
<> 139:856d2700e60b 419 #define CONTROL_FPCA_Pos 2 /*!< CONTROL: FPCA Position */
<> 139:856d2700e60b 420 #define CONTROL_FPCA_Msk (1UL << CONTROL_FPCA_Pos) /*!< CONTROL: FPCA Mask */
<> 139:856d2700e60b 421
<> 139:856d2700e60b 422 #define CONTROL_SPSEL_Pos 1 /*!< CONTROL: SPSEL Position */
<> 139:856d2700e60b 423 #define CONTROL_SPSEL_Msk (1UL << CONTROL_SPSEL_Pos) /*!< CONTROL: SPSEL Mask */
<> 139:856d2700e60b 424
<> 139:856d2700e60b 425 #define CONTROL_nPRIV_Pos 0 /*!< CONTROL: nPRIV Position */
<> 139:856d2700e60b 426 #define CONTROL_nPRIV_Msk (1UL /*<< CONTROL_nPRIV_Pos*/) /*!< CONTROL: nPRIV Mask */
<> 139:856d2700e60b 427
<> 139:856d2700e60b 428 /*@} end of group CMSIS_CORE */
<> 139:856d2700e60b 429
<> 139:856d2700e60b 430
<> 139:856d2700e60b 431 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 432 \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC)
<> 139:856d2700e60b 433 \brief Type definitions for the NVIC Registers
<> 139:856d2700e60b 434 @{
<> 139:856d2700e60b 435 */
<> 139:856d2700e60b 436
<> 139:856d2700e60b 437 /** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC).
<> 139:856d2700e60b 438 */
<> 139:856d2700e60b 439 typedef struct
<> 139:856d2700e60b 440 {
<> 139:856d2700e60b 441 __IO uint32_t ISER[8]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */
<> 139:856d2700e60b 442 uint32_t RESERVED0[24];
<> 139:856d2700e60b 443 __IO uint32_t ICER[8]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */
<> 139:856d2700e60b 444 uint32_t RSERVED1[24];
<> 139:856d2700e60b 445 __IO uint32_t ISPR[8]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */
<> 139:856d2700e60b 446 uint32_t RESERVED2[24];
<> 139:856d2700e60b 447 __IO uint32_t ICPR[8]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */
<> 139:856d2700e60b 448 uint32_t RESERVED3[24];
<> 139:856d2700e60b 449 __IO uint32_t IABR[8]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */
<> 139:856d2700e60b 450 uint32_t RESERVED4[56];
<> 139:856d2700e60b 451 __IO uint8_t IP[240]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */
<> 139:856d2700e60b 452 uint32_t RESERVED5[644];
<> 139:856d2700e60b 453 __O uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */
<> 139:856d2700e60b 454 } NVIC_Type;
<> 139:856d2700e60b 455
<> 139:856d2700e60b 456 /* Software Triggered Interrupt Register Definitions */
<> 139:856d2700e60b 457 #define NVIC_STIR_INTID_Pos 0 /*!< STIR: INTLINESNUM Position */
<> 139:856d2700e60b 458 #define NVIC_STIR_INTID_Msk (0x1FFUL /*<< NVIC_STIR_INTID_Pos*/) /*!< STIR: INTLINESNUM Mask */
<> 139:856d2700e60b 459
<> 139:856d2700e60b 460 /*@} end of group CMSIS_NVIC */
<> 139:856d2700e60b 461
<> 139:856d2700e60b 462
<> 139:856d2700e60b 463 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 464 \defgroup CMSIS_SCB System Control Block (SCB)
<> 139:856d2700e60b 465 \brief Type definitions for the System Control Block Registers
<> 139:856d2700e60b 466 @{
<> 139:856d2700e60b 467 */
<> 139:856d2700e60b 468
<> 139:856d2700e60b 469 /** \brief Structure type to access the System Control Block (SCB).
<> 139:856d2700e60b 470 */
<> 139:856d2700e60b 471 typedef struct
<> 139:856d2700e60b 472 {
<> 139:856d2700e60b 473 __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */
<> 139:856d2700e60b 474 __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */
<> 139:856d2700e60b 475 __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */
<> 139:856d2700e60b 476 __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */
<> 139:856d2700e60b 477 __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */
<> 139:856d2700e60b 478 __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */
<> 139:856d2700e60b 479 __IO uint8_t SHPR[12]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */
<> 139:856d2700e60b 480 __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */
<> 139:856d2700e60b 481 __IO uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */
<> 139:856d2700e60b 482 __IO uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */
<> 139:856d2700e60b 483 __IO uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */
<> 139:856d2700e60b 484 __IO uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */
<> 139:856d2700e60b 485 __IO uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */
<> 139:856d2700e60b 486 __IO uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */
<> 139:856d2700e60b 487 __I uint32_t ID_PFR[2]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */
<> 139:856d2700e60b 488 __I uint32_t ID_DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */
<> 139:856d2700e60b 489 __I uint32_t ID_AFR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */
<> 139:856d2700e60b 490 __I uint32_t ID_MFR[4]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */
<> 139:856d2700e60b 491 __I uint32_t ID_ISAR[5]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */
<> 139:856d2700e60b 492 uint32_t RESERVED0[1];
<> 139:856d2700e60b 493 __I uint32_t CLIDR; /*!< Offset: 0x078 (R/ ) Cache Level ID register */
<> 139:856d2700e60b 494 __I uint32_t CTR; /*!< Offset: 0x07C (R/ ) Cache Type register */
<> 139:856d2700e60b 495 __I uint32_t CCSIDR; /*!< Offset: 0x080 (R/ ) Cache Size ID Register */
<> 139:856d2700e60b 496 __IO uint32_t CSSELR; /*!< Offset: 0x084 (R/W) Cache Size Selection Register */
<> 139:856d2700e60b 497 __IO uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */
<> 139:856d2700e60b 498 uint32_t RESERVED3[93];
<> 139:856d2700e60b 499 __O uint32_t STIR; /*!< Offset: 0x200 ( /W) Software Triggered Interrupt Register */
<> 139:856d2700e60b 500 uint32_t RESERVED4[15];
<> 139:856d2700e60b 501 __I uint32_t MVFR0; /*!< Offset: 0x240 (R/ ) Media and VFP Feature Register 0 */
<> 139:856d2700e60b 502 __I uint32_t MVFR1; /*!< Offset: 0x244 (R/ ) Media and VFP Feature Register 1 */
<> 139:856d2700e60b 503 __I uint32_t MVFR2; /*!< Offset: 0x248 (R/ ) Media and VFP Feature Register 1 */
<> 139:856d2700e60b 504 uint32_t RESERVED5[1];
<> 139:856d2700e60b 505 __O uint32_t ICIALLU; /*!< Offset: 0x250 ( /W) I-Cache Invalidate All to PoU */
<> 139:856d2700e60b 506 uint32_t RESERVED6[1];
<> 139:856d2700e60b 507 __O uint32_t ICIMVAU; /*!< Offset: 0x258 ( /W) I-Cache Invalidate by MVA to PoU */
<> 139:856d2700e60b 508 __O uint32_t DCIMVAC; /*!< Offset: 0x25C ( /W) D-Cache Invalidate by MVA to PoC */
<> 139:856d2700e60b 509 __O uint32_t DCISW; /*!< Offset: 0x260 ( /W) D-Cache Invalidate by Set-way */
<> 139:856d2700e60b 510 __O uint32_t DCCMVAU; /*!< Offset: 0x264 ( /W) D-Cache Clean by MVA to PoU */
<> 139:856d2700e60b 511 __O uint32_t DCCMVAC; /*!< Offset: 0x268 ( /W) D-Cache Clean by MVA to PoC */
<> 139:856d2700e60b 512 __O uint32_t DCCSW; /*!< Offset: 0x26C ( /W) D-Cache Clean by Set-way */
<> 139:856d2700e60b 513 __O uint32_t DCCIMVAC; /*!< Offset: 0x270 ( /W) D-Cache Clean and Invalidate by MVA to PoC */
<> 139:856d2700e60b 514 __O uint32_t DCCISW; /*!< Offset: 0x274 ( /W) D-Cache Clean and Invalidate by Set-way */
<> 139:856d2700e60b 515 uint32_t RESERVED7[6];
<> 139:856d2700e60b 516 __IO uint32_t ITCMCR; /*!< Offset: 0x290 (R/W) Instruction Tightly-Coupled Memory Control Register */
<> 139:856d2700e60b 517 __IO uint32_t DTCMCR; /*!< Offset: 0x294 (R/W) Data Tightly-Coupled Memory Control Registers */
<> 139:856d2700e60b 518 __IO uint32_t AHBPCR; /*!< Offset: 0x298 (R/W) AHBP Control Register */
<> 139:856d2700e60b 519 __IO uint32_t CACR; /*!< Offset: 0x29C (R/W) L1 Cache Control Register */
<> 139:856d2700e60b 520 __IO uint32_t AHBSCR; /*!< Offset: 0x2A0 (R/W) AHB Slave Control Register */
<> 139:856d2700e60b 521 uint32_t RESERVED8[1];
<> 139:856d2700e60b 522 __IO uint32_t ABFSR; /*!< Offset: 0x2A8 (R/W) Auxiliary Bus Fault Status Register */
<> 139:856d2700e60b 523 } SCB_Type;
<> 139:856d2700e60b 524
<> 139:856d2700e60b 525 /* SCB CPUID Register Definitions */
<> 139:856d2700e60b 526 #define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */
<> 139:856d2700e60b 527 #define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */
<> 139:856d2700e60b 528
<> 139:856d2700e60b 529 #define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */
<> 139:856d2700e60b 530 #define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */
<> 139:856d2700e60b 531
<> 139:856d2700e60b 532 #define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */
<> 139:856d2700e60b 533 #define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */
<> 139:856d2700e60b 534
<> 139:856d2700e60b 535 #define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */
<> 139:856d2700e60b 536 #define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */
<> 139:856d2700e60b 537
<> 139:856d2700e60b 538 #define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */
<> 139:856d2700e60b 539 #define SCB_CPUID_REVISION_Msk (0xFUL /*<< SCB_CPUID_REVISION_Pos*/) /*!< SCB CPUID: REVISION Mask */
<> 139:856d2700e60b 540
<> 139:856d2700e60b 541 /* SCB Interrupt Control State Register Definitions */
<> 139:856d2700e60b 542 #define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */
<> 139:856d2700e60b 543 #define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */
<> 139:856d2700e60b 544
<> 139:856d2700e60b 545 #define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */
<> 139:856d2700e60b 546 #define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */
<> 139:856d2700e60b 547
<> 139:856d2700e60b 548 #define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */
<> 139:856d2700e60b 549 #define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */
<> 139:856d2700e60b 550
<> 139:856d2700e60b 551 #define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */
<> 139:856d2700e60b 552 #define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */
<> 139:856d2700e60b 553
<> 139:856d2700e60b 554 #define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */
<> 139:856d2700e60b 555 #define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */
<> 139:856d2700e60b 556
<> 139:856d2700e60b 557 #define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */
<> 139:856d2700e60b 558 #define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */
<> 139:856d2700e60b 559
<> 139:856d2700e60b 560 #define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */
<> 139:856d2700e60b 561 #define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */
<> 139:856d2700e60b 562
<> 139:856d2700e60b 563 #define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */
<> 139:856d2700e60b 564 #define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */
<> 139:856d2700e60b 565
<> 139:856d2700e60b 566 #define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */
<> 139:856d2700e60b 567 #define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */
<> 139:856d2700e60b 568
<> 139:856d2700e60b 569 #define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */
<> 139:856d2700e60b 570 #define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL /*<< SCB_ICSR_VECTACTIVE_Pos*/) /*!< SCB ICSR: VECTACTIVE Mask */
<> 139:856d2700e60b 571
<> 139:856d2700e60b 572 /* SCB Vector Table Offset Register Definitions */
<> 139:856d2700e60b 573 #define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */
<> 139:856d2700e60b 574 #define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */
<> 139:856d2700e60b 575
<> 139:856d2700e60b 576 /* SCB Application Interrupt and Reset Control Register Definitions */
<> 139:856d2700e60b 577 #define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */
<> 139:856d2700e60b 578 #define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */
<> 139:856d2700e60b 579
<> 139:856d2700e60b 580 #define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */
<> 139:856d2700e60b 581 #define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */
<> 139:856d2700e60b 582
<> 139:856d2700e60b 583 #define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */
<> 139:856d2700e60b 584 #define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */
<> 139:856d2700e60b 585
<> 139:856d2700e60b 586 #define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */
<> 139:856d2700e60b 587 #define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */
<> 139:856d2700e60b 588
<> 139:856d2700e60b 589 #define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */
<> 139:856d2700e60b 590 #define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */
<> 139:856d2700e60b 591
<> 139:856d2700e60b 592 #define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */
<> 139:856d2700e60b 593 #define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */
<> 139:856d2700e60b 594
<> 139:856d2700e60b 595 #define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */
<> 139:856d2700e60b 596 #define SCB_AIRCR_VECTRESET_Msk (1UL /*<< SCB_AIRCR_VECTRESET_Pos*/) /*!< SCB AIRCR: VECTRESET Mask */
<> 139:856d2700e60b 597
<> 139:856d2700e60b 598 /* SCB System Control Register Definitions */
<> 139:856d2700e60b 599 #define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */
<> 139:856d2700e60b 600 #define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */
<> 139:856d2700e60b 601
<> 139:856d2700e60b 602 #define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */
<> 139:856d2700e60b 603 #define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */
<> 139:856d2700e60b 604
<> 139:856d2700e60b 605 #define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */
<> 139:856d2700e60b 606 #define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */
<> 139:856d2700e60b 607
<> 139:856d2700e60b 608 /* SCB Configuration Control Register Definitions */
<> 139:856d2700e60b 609 #define SCB_CCR_BP_Pos 18 /*!< SCB CCR: Branch prediction enable bit Position */
<> 139:856d2700e60b 610 #define SCB_CCR_BP_Msk (1UL << SCB_CCR_BP_Pos) /*!< SCB CCR: Branch prediction enable bit Mask */
<> 139:856d2700e60b 611
<> 139:856d2700e60b 612 #define SCB_CCR_IC_Pos 17 /*!< SCB CCR: Instruction cache enable bit Position */
<> 139:856d2700e60b 613 #define SCB_CCR_IC_Msk (1UL << SCB_CCR_IC_Pos) /*!< SCB CCR: Instruction cache enable bit Mask */
<> 139:856d2700e60b 614
<> 139:856d2700e60b 615 #define SCB_CCR_DC_Pos 16 /*!< SCB CCR: Cache enable bit Position */
<> 139:856d2700e60b 616 #define SCB_CCR_DC_Msk (1UL << SCB_CCR_DC_Pos) /*!< SCB CCR: Cache enable bit Mask */
<> 139:856d2700e60b 617
<> 139:856d2700e60b 618 #define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */
<> 139:856d2700e60b 619 #define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */
<> 139:856d2700e60b 620
<> 139:856d2700e60b 621 #define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */
<> 139:856d2700e60b 622 #define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */
<> 139:856d2700e60b 623
<> 139:856d2700e60b 624 #define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */
<> 139:856d2700e60b 625 #define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */
<> 139:856d2700e60b 626
<> 139:856d2700e60b 627 #define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */
<> 139:856d2700e60b 628 #define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */
<> 139:856d2700e60b 629
<> 139:856d2700e60b 630 #define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */
<> 139:856d2700e60b 631 #define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */
<> 139:856d2700e60b 632
<> 139:856d2700e60b 633 #define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */
<> 139:856d2700e60b 634 #define SCB_CCR_NONBASETHRDENA_Msk (1UL /*<< SCB_CCR_NONBASETHRDENA_Pos*/) /*!< SCB CCR: NONBASETHRDENA Mask */
<> 139:856d2700e60b 635
<> 139:856d2700e60b 636 /* SCB System Handler Control and State Register Definitions */
<> 139:856d2700e60b 637 #define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */
<> 139:856d2700e60b 638 #define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */
<> 139:856d2700e60b 639
<> 139:856d2700e60b 640 #define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */
<> 139:856d2700e60b 641 #define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */
<> 139:856d2700e60b 642
<> 139:856d2700e60b 643 #define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */
<> 139:856d2700e60b 644 #define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */
<> 139:856d2700e60b 645
<> 139:856d2700e60b 646 #define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */
<> 139:856d2700e60b 647 #define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */
<> 139:856d2700e60b 648
<> 139:856d2700e60b 649 #define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */
<> 139:856d2700e60b 650 #define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */
<> 139:856d2700e60b 651
<> 139:856d2700e60b 652 #define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */
<> 139:856d2700e60b 653 #define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */
<> 139:856d2700e60b 654
<> 139:856d2700e60b 655 #define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */
<> 139:856d2700e60b 656 #define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */
<> 139:856d2700e60b 657
<> 139:856d2700e60b 658 #define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */
<> 139:856d2700e60b 659 #define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */
<> 139:856d2700e60b 660
<> 139:856d2700e60b 661 #define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */
<> 139:856d2700e60b 662 #define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */
<> 139:856d2700e60b 663
<> 139:856d2700e60b 664 #define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */
<> 139:856d2700e60b 665 #define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */
<> 139:856d2700e60b 666
<> 139:856d2700e60b 667 #define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */
<> 139:856d2700e60b 668 #define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */
<> 139:856d2700e60b 669
<> 139:856d2700e60b 670 #define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */
<> 139:856d2700e60b 671 #define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */
<> 139:856d2700e60b 672
<> 139:856d2700e60b 673 #define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */
<> 139:856d2700e60b 674 #define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */
<> 139:856d2700e60b 675
<> 139:856d2700e60b 676 #define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */
<> 139:856d2700e60b 677 #define SCB_SHCSR_MEMFAULTACT_Msk (1UL /*<< SCB_SHCSR_MEMFAULTACT_Pos*/) /*!< SCB SHCSR: MEMFAULTACT Mask */
<> 139:856d2700e60b 678
<> 139:856d2700e60b 679 /* SCB Configurable Fault Status Registers Definitions */
<> 139:856d2700e60b 680 #define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */
<> 139:856d2700e60b 681 #define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */
<> 139:856d2700e60b 682
<> 139:856d2700e60b 683 #define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */
<> 139:856d2700e60b 684 #define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */
<> 139:856d2700e60b 685
<> 139:856d2700e60b 686 #define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */
<> 139:856d2700e60b 687 #define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL /*<< SCB_CFSR_MEMFAULTSR_Pos*/) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */
<> 139:856d2700e60b 688
<> 139:856d2700e60b 689 /* SCB Hard Fault Status Registers Definitions */
<> 139:856d2700e60b 690 #define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */
<> 139:856d2700e60b 691 #define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */
<> 139:856d2700e60b 692
<> 139:856d2700e60b 693 #define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */
<> 139:856d2700e60b 694 #define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */
<> 139:856d2700e60b 695
<> 139:856d2700e60b 696 #define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */
<> 139:856d2700e60b 697 #define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */
<> 139:856d2700e60b 698
<> 139:856d2700e60b 699 /* SCB Debug Fault Status Register Definitions */
<> 139:856d2700e60b 700 #define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */
<> 139:856d2700e60b 701 #define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */
<> 139:856d2700e60b 702
<> 139:856d2700e60b 703 #define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */
<> 139:856d2700e60b 704 #define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */
<> 139:856d2700e60b 705
<> 139:856d2700e60b 706 #define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */
<> 139:856d2700e60b 707 #define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */
<> 139:856d2700e60b 708
<> 139:856d2700e60b 709 #define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */
<> 139:856d2700e60b 710 #define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */
<> 139:856d2700e60b 711
<> 139:856d2700e60b 712 #define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */
<> 139:856d2700e60b 713 #define SCB_DFSR_HALTED_Msk (1UL /*<< SCB_DFSR_HALTED_Pos*/) /*!< SCB DFSR: HALTED Mask */
<> 139:856d2700e60b 714
<> 139:856d2700e60b 715 /* Cache Level ID register */
<> 139:856d2700e60b 716 #define SCB_CLIDR_LOUU_Pos 27 /*!< SCB CLIDR: LoUU Position */
<> 139:856d2700e60b 717 #define SCB_CLIDR_LOUU_Msk (7UL << SCB_CLIDR_LOUU_Pos) /*!< SCB CLIDR: LoUU Mask */
<> 139:856d2700e60b 718
<> 139:856d2700e60b 719 #define SCB_CLIDR_LOC_Pos 24 /*!< SCB CLIDR: LoC Position */
<> 139:856d2700e60b 720 #define SCB_CLIDR_LOC_Msk (7UL << SCB_CLIDR_FORMAT_Pos) /*!< SCB CLIDR: LoC Mask */
<> 139:856d2700e60b 721
<> 139:856d2700e60b 722 /* Cache Type register */
<> 139:856d2700e60b 723 #define SCB_CTR_FORMAT_Pos 29 /*!< SCB CTR: Format Position */
<> 139:856d2700e60b 724 #define SCB_CTR_FORMAT_Msk (7UL << SCB_CTR_FORMAT_Pos) /*!< SCB CTR: Format Mask */
<> 139:856d2700e60b 725
<> 139:856d2700e60b 726 #define SCB_CTR_CWG_Pos 24 /*!< SCB CTR: CWG Position */
<> 139:856d2700e60b 727 #define SCB_CTR_CWG_Msk (0xFUL << SCB_CTR_CWG_Pos) /*!< SCB CTR: CWG Mask */
<> 139:856d2700e60b 728
<> 139:856d2700e60b 729 #define SCB_CTR_ERG_Pos 20 /*!< SCB CTR: ERG Position */
<> 139:856d2700e60b 730 #define SCB_CTR_ERG_Msk (0xFUL << SCB_CTR_ERG_Pos) /*!< SCB CTR: ERG Mask */
<> 139:856d2700e60b 731
<> 139:856d2700e60b 732 #define SCB_CTR_DMINLINE_Pos 16 /*!< SCB CTR: DminLine Position */
<> 139:856d2700e60b 733 #define SCB_CTR_DMINLINE_Msk (0xFUL << SCB_CTR_DMINLINE_Pos) /*!< SCB CTR: DminLine Mask */
<> 139:856d2700e60b 734
<> 139:856d2700e60b 735 #define SCB_CTR_IMINLINE_Pos 0 /*!< SCB CTR: ImInLine Position */
<> 139:856d2700e60b 736 #define SCB_CTR_IMINLINE_Msk (0xFUL /*<< SCB_CTR_IMINLINE_Pos*/) /*!< SCB CTR: ImInLine Mask */
<> 139:856d2700e60b 737
<> 139:856d2700e60b 738 /* Cache Size ID Register */
<> 139:856d2700e60b 739 #define SCB_CCSIDR_WT_Pos 31 /*!< SCB CCSIDR: WT Position */
<> 139:856d2700e60b 740 #define SCB_CCSIDR_WT_Msk (7UL << SCB_CCSIDR_WT_Pos) /*!< SCB CCSIDR: WT Mask */
<> 139:856d2700e60b 741
<> 139:856d2700e60b 742 #define SCB_CCSIDR_WB_Pos 30 /*!< SCB CCSIDR: WB Position */
<> 139:856d2700e60b 743 #define SCB_CCSIDR_WB_Msk (7UL << SCB_CCSIDR_WB_Pos) /*!< SCB CCSIDR: WB Mask */
<> 139:856d2700e60b 744
<> 139:856d2700e60b 745 #define SCB_CCSIDR_RA_Pos 29 /*!< SCB CCSIDR: RA Position */
<> 139:856d2700e60b 746 #define SCB_CCSIDR_RA_Msk (7UL << SCB_CCSIDR_RA_Pos) /*!< SCB CCSIDR: RA Mask */
<> 139:856d2700e60b 747
<> 139:856d2700e60b 748 #define SCB_CCSIDR_WA_Pos 28 /*!< SCB CCSIDR: WA Position */
<> 139:856d2700e60b 749 #define SCB_CCSIDR_WA_Msk (7UL << SCB_CCSIDR_WA_Pos) /*!< SCB CCSIDR: WA Mask */
<> 139:856d2700e60b 750
<> 139:856d2700e60b 751 #define SCB_CCSIDR_NUMSETS_Pos 13 /*!< SCB CCSIDR: NumSets Position */
<> 139:856d2700e60b 752 #define SCB_CCSIDR_NUMSETS_Msk (0x7FFFUL << SCB_CCSIDR_NUMSETS_Pos) /*!< SCB CCSIDR: NumSets Mask */
<> 139:856d2700e60b 753
<> 139:856d2700e60b 754 #define SCB_CCSIDR_ASSOCIATIVITY_Pos 3 /*!< SCB CCSIDR: Associativity Position */
<> 139:856d2700e60b 755 #define SCB_CCSIDR_ASSOCIATIVITY_Msk (0x3FFUL << SCB_CCSIDR_ASSOCIATIVITY_Pos) /*!< SCB CCSIDR: Associativity Mask */
<> 139:856d2700e60b 756
<> 139:856d2700e60b 757 #define SCB_CCSIDR_LINESIZE_Pos 0 /*!< SCB CCSIDR: LineSize Position */
<> 139:856d2700e60b 758 #define SCB_CCSIDR_LINESIZE_Msk (7UL /*<< SCB_CCSIDR_LINESIZE_Pos*/) /*!< SCB CCSIDR: LineSize Mask */
<> 139:856d2700e60b 759
<> 139:856d2700e60b 760 /* Cache Size Selection Register */
<> 139:856d2700e60b 761 #define SCB_CSSELR_LEVEL_Pos 1 /*!< SCB CSSELR: Level Position */
<> 139:856d2700e60b 762 #define SCB_CSSELR_LEVEL_Msk (7UL << SCB_CSSELR_LEVEL_Pos) /*!< SCB CSSELR: Level Mask */
<> 139:856d2700e60b 763
<> 139:856d2700e60b 764 #define SCB_CSSELR_IND_Pos 0 /*!< SCB CSSELR: InD Position */
<> 139:856d2700e60b 765 #define SCB_CSSELR_IND_Msk (1UL /*<< SCB_CSSELR_IND_Pos*/) /*!< SCB CSSELR: InD Mask */
<> 139:856d2700e60b 766
<> 139:856d2700e60b 767 /* SCB Software Triggered Interrupt Register */
<> 139:856d2700e60b 768 #define SCB_STIR_INTID_Pos 0 /*!< SCB STIR: INTID Position */
<> 139:856d2700e60b 769 #define SCB_STIR_INTID_Msk (0x1FFUL /*<< SCB_STIR_INTID_Pos*/) /*!< SCB STIR: INTID Mask */
<> 139:856d2700e60b 770
<> 139:856d2700e60b 771 /* Instruction Tightly-Coupled Memory Control Register*/
<> 139:856d2700e60b 772 #define SCB_ITCMCR_SZ_Pos 3 /*!< SCB ITCMCR: SZ Position */
<> 139:856d2700e60b 773 #define SCB_ITCMCR_SZ_Msk (0xFUL << SCB_ITCMCR_SZ_Pos) /*!< SCB ITCMCR: SZ Mask */
<> 139:856d2700e60b 774
<> 139:856d2700e60b 775 #define SCB_ITCMCR_RETEN_Pos 2 /*!< SCB ITCMCR: RETEN Position */
<> 139:856d2700e60b 776 #define SCB_ITCMCR_RETEN_Msk (1UL << SCB_ITCMCR_RETEN_Pos) /*!< SCB ITCMCR: RETEN Mask */
<> 139:856d2700e60b 777
<> 139:856d2700e60b 778 #define SCB_ITCMCR_RMW_Pos 1 /*!< SCB ITCMCR: RMW Position */
<> 139:856d2700e60b 779 #define SCB_ITCMCR_RMW_Msk (1UL << SCB_ITCMCR_RMW_Pos) /*!< SCB ITCMCR: RMW Mask */
<> 139:856d2700e60b 780
<> 139:856d2700e60b 781 #define SCB_ITCMCR_EN_Pos 0 /*!< SCB ITCMCR: EN Position */
<> 139:856d2700e60b 782 #define SCB_ITCMCR_EN_Msk (1UL /*<< SCB_ITCMCR_EN_Pos*/) /*!< SCB ITCMCR: EN Mask */
<> 139:856d2700e60b 783
<> 139:856d2700e60b 784 /* Data Tightly-Coupled Memory Control Registers */
<> 139:856d2700e60b 785 #define SCB_DTCMCR_SZ_Pos 3 /*!< SCB DTCMCR: SZ Position */
<> 139:856d2700e60b 786 #define SCB_DTCMCR_SZ_Msk (0xFUL << SCB_DTCMCR_SZ_Pos) /*!< SCB DTCMCR: SZ Mask */
<> 139:856d2700e60b 787
<> 139:856d2700e60b 788 #define SCB_DTCMCR_RETEN_Pos 2 /*!< SCB DTCMCR: RETEN Position */
<> 139:856d2700e60b 789 #define SCB_DTCMCR_RETEN_Msk (1UL << SCB_DTCMCR_RETEN_Pos) /*!< SCB DTCMCR: RETEN Mask */
<> 139:856d2700e60b 790
<> 139:856d2700e60b 791 #define SCB_DTCMCR_RMW_Pos 1 /*!< SCB DTCMCR: RMW Position */
<> 139:856d2700e60b 792 #define SCB_DTCMCR_RMW_Msk (1UL << SCB_DTCMCR_RMW_Pos) /*!< SCB DTCMCR: RMW Mask */
<> 139:856d2700e60b 793
<> 139:856d2700e60b 794 #define SCB_DTCMCR_EN_Pos 0 /*!< SCB DTCMCR: EN Position */
<> 139:856d2700e60b 795 #define SCB_DTCMCR_EN_Msk (1UL /*<< SCB_DTCMCR_EN_Pos*/) /*!< SCB DTCMCR: EN Mask */
<> 139:856d2700e60b 796
<> 139:856d2700e60b 797 /* AHBP Control Register */
<> 139:856d2700e60b 798 #define SCB_AHBPCR_SZ_Pos 1 /*!< SCB AHBPCR: SZ Position */
<> 139:856d2700e60b 799 #define SCB_AHBPCR_SZ_Msk (7UL << SCB_AHBPCR_SZ_Pos) /*!< SCB AHBPCR: SZ Mask */
<> 139:856d2700e60b 800
<> 139:856d2700e60b 801 #define SCB_AHBPCR_EN_Pos 0 /*!< SCB AHBPCR: EN Position */
<> 139:856d2700e60b 802 #define SCB_AHBPCR_EN_Msk (1UL /*<< SCB_AHBPCR_EN_Pos*/) /*!< SCB AHBPCR: EN Mask */
<> 139:856d2700e60b 803
<> 139:856d2700e60b 804 /* L1 Cache Control Register */
<> 139:856d2700e60b 805 #define SCB_CACR_FORCEWT_Pos 2 /*!< SCB CACR: FORCEWT Position */
<> 139:856d2700e60b 806 #define SCB_CACR_FORCEWT_Msk (1UL << SCB_CACR_FORCEWT_Pos) /*!< SCB CACR: FORCEWT Mask */
<> 139:856d2700e60b 807
<> 139:856d2700e60b 808 #define SCB_CACR_ECCEN_Pos 1 /*!< SCB CACR: ECCEN Position */
<> 139:856d2700e60b 809 #define SCB_CACR_ECCEN_Msk (1UL << SCB_CACR_ECCEN_Pos) /*!< SCB CACR: ECCEN Mask */
<> 139:856d2700e60b 810
<> 139:856d2700e60b 811 #define SCB_CACR_SIWT_Pos 0 /*!< SCB CACR: SIWT Position */
<> 139:856d2700e60b 812 #define SCB_CACR_SIWT_Msk (1UL /*<< SCB_CACR_SIWT_Pos*/) /*!< SCB CACR: SIWT Mask */
<> 139:856d2700e60b 813
<> 139:856d2700e60b 814 /* AHBS control register */
<> 139:856d2700e60b 815 #define SCB_AHBSCR_INITCOUNT_Pos 11 /*!< SCB AHBSCR: INITCOUNT Position */
<> 139:856d2700e60b 816 #define SCB_AHBSCR_INITCOUNT_Msk (0x1FUL << SCB_AHBPCR_INITCOUNT_Pos) /*!< SCB AHBSCR: INITCOUNT Mask */
<> 139:856d2700e60b 817
<> 139:856d2700e60b 818 #define SCB_AHBSCR_TPRI_Pos 2 /*!< SCB AHBSCR: TPRI Position */
<> 139:856d2700e60b 819 #define SCB_AHBSCR_TPRI_Msk (0x1FFUL << SCB_AHBPCR_TPRI_Pos) /*!< SCB AHBSCR: TPRI Mask */
<> 139:856d2700e60b 820
<> 139:856d2700e60b 821 #define SCB_AHBSCR_CTL_Pos 0 /*!< SCB AHBSCR: CTL Position*/
<> 139:856d2700e60b 822 #define SCB_AHBSCR_CTL_Msk (3UL /*<< SCB_AHBPCR_CTL_Pos*/) /*!< SCB AHBSCR: CTL Mask */
<> 139:856d2700e60b 823
<> 139:856d2700e60b 824 /* Auxiliary Bus Fault Status Register */
<> 139:856d2700e60b 825 #define SCB_ABFSR_AXIMTYPE_Pos 8 /*!< SCB ABFSR: AXIMTYPE Position*/
<> 139:856d2700e60b 826 #define SCB_ABFSR_AXIMTYPE_Msk (3UL << SCB_ABFSR_AXIMTYPE_Pos) /*!< SCB ABFSR: AXIMTYPE Mask */
<> 139:856d2700e60b 827
<> 139:856d2700e60b 828 #define SCB_ABFSR_EPPB_Pos 4 /*!< SCB ABFSR: EPPB Position*/
<> 139:856d2700e60b 829 #define SCB_ABFSR_EPPB_Msk (1UL << SCB_ABFSR_EPPB_Pos) /*!< SCB ABFSR: EPPB Mask */
<> 139:856d2700e60b 830
<> 139:856d2700e60b 831 #define SCB_ABFSR_AXIM_Pos 3 /*!< SCB ABFSR: AXIM Position*/
<> 139:856d2700e60b 832 #define SCB_ABFSR_AXIM_Msk (1UL << SCB_ABFSR_AXIM_Pos) /*!< SCB ABFSR: AXIM Mask */
<> 139:856d2700e60b 833
<> 139:856d2700e60b 834 #define SCB_ABFSR_AHBP_Pos 2 /*!< SCB ABFSR: AHBP Position*/
<> 139:856d2700e60b 835 #define SCB_ABFSR_AHBP_Msk (1UL << SCB_ABFSR_AHBP_Pos) /*!< SCB ABFSR: AHBP Mask */
<> 139:856d2700e60b 836
<> 139:856d2700e60b 837 #define SCB_ABFSR_DTCM_Pos 1 /*!< SCB ABFSR: DTCM Position*/
<> 139:856d2700e60b 838 #define SCB_ABFSR_DTCM_Msk (1UL << SCB_ABFSR_DTCM_Pos) /*!< SCB ABFSR: DTCM Mask */
<> 139:856d2700e60b 839
<> 139:856d2700e60b 840 #define SCB_ABFSR_ITCM_Pos 0 /*!< SCB ABFSR: ITCM Position*/
<> 139:856d2700e60b 841 #define SCB_ABFSR_ITCM_Msk (1UL /*<< SCB_ABFSR_ITCM_Pos*/) /*!< SCB ABFSR: ITCM Mask */
<> 139:856d2700e60b 842
<> 139:856d2700e60b 843 /*@} end of group CMSIS_SCB */
<> 139:856d2700e60b 844
<> 139:856d2700e60b 845
<> 139:856d2700e60b 846 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 847 \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB)
<> 139:856d2700e60b 848 \brief Type definitions for the System Control and ID Register not in the SCB
<> 139:856d2700e60b 849 @{
<> 139:856d2700e60b 850 */
<> 139:856d2700e60b 851
<> 139:856d2700e60b 852 /** \brief Structure type to access the System Control and ID Register not in the SCB.
<> 139:856d2700e60b 853 */
<> 139:856d2700e60b 854 typedef struct
<> 139:856d2700e60b 855 {
<> 139:856d2700e60b 856 uint32_t RESERVED0[1];
<> 139:856d2700e60b 857 __I uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */
<> 139:856d2700e60b 858 __IO uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */
<> 139:856d2700e60b 859 } SCnSCB_Type;
<> 139:856d2700e60b 860
<> 139:856d2700e60b 861 /* Interrupt Controller Type Register Definitions */
<> 139:856d2700e60b 862 #define SCnSCB_ICTR_INTLINESNUM_Pos 0 /*!< ICTR: INTLINESNUM Position */
<> 139:856d2700e60b 863 #define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL /*<< SCnSCB_ICTR_INTLINESNUM_Pos*/) /*!< ICTR: INTLINESNUM Mask */
<> 139:856d2700e60b 864
<> 139:856d2700e60b 865 /* Auxiliary Control Register Definitions */
<> 139:856d2700e60b 866 #define SCnSCB_ACTLR_DISITMATBFLUSH_Pos 12 /*!< ACTLR: DISITMATBFLUSH Position */
<> 139:856d2700e60b 867 #define SCnSCB_ACTLR_DISITMATBFLUSH_Msk (1UL << SCnSCB_ACTLR_DISITMATBFLUSH_Pos) /*!< ACTLR: DISITMATBFLUSH Mask */
<> 139:856d2700e60b 868
<> 139:856d2700e60b 869 #define SCnSCB_ACTLR_DISRAMODE_Pos 11 /*!< ACTLR: DISRAMODE Position */
<> 139:856d2700e60b 870 #define SCnSCB_ACTLR_DISRAMODE_Msk (1UL << SCnSCB_ACTLR_DISRAMODE_Pos) /*!< ACTLR: DISRAMODE Mask */
<> 139:856d2700e60b 871
<> 139:856d2700e60b 872 #define SCnSCB_ACTLR_FPEXCODIS_Pos 10 /*!< ACTLR: FPEXCODIS Position */
<> 139:856d2700e60b 873 #define SCnSCB_ACTLR_FPEXCODIS_Msk (1UL << SCnSCB_ACTLR_FPEXCODIS_Pos) /*!< ACTLR: FPEXCODIS Mask */
<> 139:856d2700e60b 874
<> 139:856d2700e60b 875 #define SCnSCB_ACTLR_DISFOLD_Pos 2 /*!< ACTLR: DISFOLD Position */
<> 139:856d2700e60b 876 #define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */
<> 139:856d2700e60b 877
<> 139:856d2700e60b 878 #define SCnSCB_ACTLR_DISMCYCINT_Pos 0 /*!< ACTLR: DISMCYCINT Position */
<> 139:856d2700e60b 879 #define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL /*<< SCnSCB_ACTLR_DISMCYCINT_Pos*/) /*!< ACTLR: DISMCYCINT Mask */
<> 139:856d2700e60b 880
<> 139:856d2700e60b 881 /*@} end of group CMSIS_SCnotSCB */
<> 139:856d2700e60b 882
<> 139:856d2700e60b 883
<> 139:856d2700e60b 884 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 885 \defgroup CMSIS_SysTick System Tick Timer (SysTick)
<> 139:856d2700e60b 886 \brief Type definitions for the System Timer Registers.
<> 139:856d2700e60b 887 @{
<> 139:856d2700e60b 888 */
<> 139:856d2700e60b 889
<> 139:856d2700e60b 890 /** \brief Structure type to access the System Timer (SysTick).
<> 139:856d2700e60b 891 */
<> 139:856d2700e60b 892 typedef struct
<> 139:856d2700e60b 893 {
<> 139:856d2700e60b 894 __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */
<> 139:856d2700e60b 895 __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */
<> 139:856d2700e60b 896 __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */
<> 139:856d2700e60b 897 __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */
<> 139:856d2700e60b 898 } SysTick_Type;
<> 139:856d2700e60b 899
<> 139:856d2700e60b 900 /* SysTick Control / Status Register Definitions */
<> 139:856d2700e60b 901 #define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */
<> 139:856d2700e60b 902 #define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */
<> 139:856d2700e60b 903
<> 139:856d2700e60b 904 #define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */
<> 139:856d2700e60b 905 #define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */
<> 139:856d2700e60b 906
<> 139:856d2700e60b 907 #define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */
<> 139:856d2700e60b 908 #define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */
<> 139:856d2700e60b 909
<> 139:856d2700e60b 910 #define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */
<> 139:856d2700e60b 911 #define SysTick_CTRL_ENABLE_Msk (1UL /*<< SysTick_CTRL_ENABLE_Pos*/) /*!< SysTick CTRL: ENABLE Mask */
<> 139:856d2700e60b 912
<> 139:856d2700e60b 913 /* SysTick Reload Register Definitions */
<> 139:856d2700e60b 914 #define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */
<> 139:856d2700e60b 915 #define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL /*<< SysTick_LOAD_RELOAD_Pos*/) /*!< SysTick LOAD: RELOAD Mask */
<> 139:856d2700e60b 916
<> 139:856d2700e60b 917 /* SysTick Current Register Definitions */
<> 139:856d2700e60b 918 #define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */
<> 139:856d2700e60b 919 #define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL /*<< SysTick_VAL_CURRENT_Pos*/) /*!< SysTick VAL: CURRENT Mask */
<> 139:856d2700e60b 920
<> 139:856d2700e60b 921 /* SysTick Calibration Register Definitions */
<> 139:856d2700e60b 922 #define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */
<> 139:856d2700e60b 923 #define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */
<> 139:856d2700e60b 924
<> 139:856d2700e60b 925 #define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */
<> 139:856d2700e60b 926 #define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */
<> 139:856d2700e60b 927
<> 139:856d2700e60b 928 #define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */
<> 139:856d2700e60b 929 #define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL /*<< SysTick_CALIB_TENMS_Pos*/) /*!< SysTick CALIB: TENMS Mask */
<> 139:856d2700e60b 930
<> 139:856d2700e60b 931 /*@} end of group CMSIS_SysTick */
<> 139:856d2700e60b 932
<> 139:856d2700e60b 933
<> 139:856d2700e60b 934 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 935 \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM)
<> 139:856d2700e60b 936 \brief Type definitions for the Instrumentation Trace Macrocell (ITM)
<> 139:856d2700e60b 937 @{
<> 139:856d2700e60b 938 */
<> 139:856d2700e60b 939
<> 139:856d2700e60b 940 /** \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM).
<> 139:856d2700e60b 941 */
<> 139:856d2700e60b 942 typedef struct
<> 139:856d2700e60b 943 {
<> 139:856d2700e60b 944 __O union
<> 139:856d2700e60b 945 {
<> 139:856d2700e60b 946 __O uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */
<> 139:856d2700e60b 947 __O uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */
<> 139:856d2700e60b 948 __O uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */
<> 139:856d2700e60b 949 } PORT [32]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */
<> 139:856d2700e60b 950 uint32_t RESERVED0[864];
<> 139:856d2700e60b 951 __IO uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */
<> 139:856d2700e60b 952 uint32_t RESERVED1[15];
<> 139:856d2700e60b 953 __IO uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */
<> 139:856d2700e60b 954 uint32_t RESERVED2[15];
<> 139:856d2700e60b 955 __IO uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */
<> 139:856d2700e60b 956 uint32_t RESERVED3[29];
<> 139:856d2700e60b 957 __O uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */
<> 139:856d2700e60b 958 __I uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */
<> 139:856d2700e60b 959 __IO uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */
<> 139:856d2700e60b 960 uint32_t RESERVED4[43];
<> 139:856d2700e60b 961 __O uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */
<> 139:856d2700e60b 962 __I uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */
<> 139:856d2700e60b 963 uint32_t RESERVED5[6];
<> 139:856d2700e60b 964 __I uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */
<> 139:856d2700e60b 965 __I uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */
<> 139:856d2700e60b 966 __I uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */
<> 139:856d2700e60b 967 __I uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */
<> 139:856d2700e60b 968 __I uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */
<> 139:856d2700e60b 969 __I uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */
<> 139:856d2700e60b 970 __I uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */
<> 139:856d2700e60b 971 __I uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */
<> 139:856d2700e60b 972 __I uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */
<> 139:856d2700e60b 973 __I uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */
<> 139:856d2700e60b 974 __I uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */
<> 139:856d2700e60b 975 __I uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */
<> 139:856d2700e60b 976 } ITM_Type;
<> 139:856d2700e60b 977
<> 139:856d2700e60b 978 /* ITM Trace Privilege Register Definitions */
<> 139:856d2700e60b 979 #define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */
<> 139:856d2700e60b 980 #define ITM_TPR_PRIVMASK_Msk (0xFUL /*<< ITM_TPR_PRIVMASK_Pos*/) /*!< ITM TPR: PRIVMASK Mask */
<> 139:856d2700e60b 981
<> 139:856d2700e60b 982 /* ITM Trace Control Register Definitions */
<> 139:856d2700e60b 983 #define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */
<> 139:856d2700e60b 984 #define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */
<> 139:856d2700e60b 985
<> 139:856d2700e60b 986 #define ITM_TCR_TraceBusID_Pos 16 /*!< ITM TCR: ATBID Position */
<> 139:856d2700e60b 987 #define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */
<> 139:856d2700e60b 988
<> 139:856d2700e60b 989 #define ITM_TCR_GTSFREQ_Pos 10 /*!< ITM TCR: Global timestamp frequency Position */
<> 139:856d2700e60b 990 #define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */
<> 139:856d2700e60b 991
<> 139:856d2700e60b 992 #define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */
<> 139:856d2700e60b 993 #define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */
<> 139:856d2700e60b 994
<> 139:856d2700e60b 995 #define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */
<> 139:856d2700e60b 996 #define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */
<> 139:856d2700e60b 997
<> 139:856d2700e60b 998 #define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */
<> 139:856d2700e60b 999 #define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */
<> 139:856d2700e60b 1000
<> 139:856d2700e60b 1001 #define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */
<> 139:856d2700e60b 1002 #define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */
<> 139:856d2700e60b 1003
<> 139:856d2700e60b 1004 #define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */
<> 139:856d2700e60b 1005 #define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */
<> 139:856d2700e60b 1006
<> 139:856d2700e60b 1007 #define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */
<> 139:856d2700e60b 1008 #define ITM_TCR_ITMENA_Msk (1UL /*<< ITM_TCR_ITMENA_Pos*/) /*!< ITM TCR: ITM Enable bit Mask */
<> 139:856d2700e60b 1009
<> 139:856d2700e60b 1010 /* ITM Integration Write Register Definitions */
<> 139:856d2700e60b 1011 #define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */
<> 139:856d2700e60b 1012 #define ITM_IWR_ATVALIDM_Msk (1UL /*<< ITM_IWR_ATVALIDM_Pos*/) /*!< ITM IWR: ATVALIDM Mask */
<> 139:856d2700e60b 1013
<> 139:856d2700e60b 1014 /* ITM Integration Read Register Definitions */
<> 139:856d2700e60b 1015 #define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */
<> 139:856d2700e60b 1016 #define ITM_IRR_ATREADYM_Msk (1UL /*<< ITM_IRR_ATREADYM_Pos*/) /*!< ITM IRR: ATREADYM Mask */
<> 139:856d2700e60b 1017
<> 139:856d2700e60b 1018 /* ITM Integration Mode Control Register Definitions */
<> 139:856d2700e60b 1019 #define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */
<> 139:856d2700e60b 1020 #define ITM_IMCR_INTEGRATION_Msk (1UL /*<< ITM_IMCR_INTEGRATION_Pos*/) /*!< ITM IMCR: INTEGRATION Mask */
<> 139:856d2700e60b 1021
<> 139:856d2700e60b 1022 /* ITM Lock Status Register Definitions */
<> 139:856d2700e60b 1023 #define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */
<> 139:856d2700e60b 1024 #define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */
<> 139:856d2700e60b 1025
<> 139:856d2700e60b 1026 #define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */
<> 139:856d2700e60b 1027 #define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */
<> 139:856d2700e60b 1028
<> 139:856d2700e60b 1029 #define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */
<> 139:856d2700e60b 1030 #define ITM_LSR_Present_Msk (1UL /*<< ITM_LSR_Present_Pos*/) /*!< ITM LSR: Present Mask */
<> 139:856d2700e60b 1031
<> 139:856d2700e60b 1032 /*@}*/ /* end of group CMSIS_ITM */
<> 139:856d2700e60b 1033
<> 139:856d2700e60b 1034
<> 139:856d2700e60b 1035 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 1036 \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT)
<> 139:856d2700e60b 1037 \brief Type definitions for the Data Watchpoint and Trace (DWT)
<> 139:856d2700e60b 1038 @{
<> 139:856d2700e60b 1039 */
<> 139:856d2700e60b 1040
<> 139:856d2700e60b 1041 /** \brief Structure type to access the Data Watchpoint and Trace Register (DWT).
<> 139:856d2700e60b 1042 */
<> 139:856d2700e60b 1043 typedef struct
<> 139:856d2700e60b 1044 {
<> 139:856d2700e60b 1045 __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */
<> 139:856d2700e60b 1046 __IO uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */
<> 139:856d2700e60b 1047 __IO uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */
<> 139:856d2700e60b 1048 __IO uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */
<> 139:856d2700e60b 1049 __IO uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */
<> 139:856d2700e60b 1050 __IO uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */
<> 139:856d2700e60b 1051 __IO uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */
<> 139:856d2700e60b 1052 __I uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */
<> 139:856d2700e60b 1053 __IO uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */
<> 139:856d2700e60b 1054 __IO uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */
<> 139:856d2700e60b 1055 __IO uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */
<> 139:856d2700e60b 1056 uint32_t RESERVED0[1];
<> 139:856d2700e60b 1057 __IO uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */
<> 139:856d2700e60b 1058 __IO uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */
<> 139:856d2700e60b 1059 __IO uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */
<> 139:856d2700e60b 1060 uint32_t RESERVED1[1];
<> 139:856d2700e60b 1061 __IO uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */
<> 139:856d2700e60b 1062 __IO uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */
<> 139:856d2700e60b 1063 __IO uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */
<> 139:856d2700e60b 1064 uint32_t RESERVED2[1];
<> 139:856d2700e60b 1065 __IO uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */
<> 139:856d2700e60b 1066 __IO uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */
<> 139:856d2700e60b 1067 __IO uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */
<> 139:856d2700e60b 1068 uint32_t RESERVED3[981];
<> 139:856d2700e60b 1069 __O uint32_t LAR; /*!< Offset: 0xFB0 ( W) Lock Access Register */
<> 139:856d2700e60b 1070 __I uint32_t LSR; /*!< Offset: 0xFB4 (R ) Lock Status Register */
<> 139:856d2700e60b 1071 } DWT_Type;
<> 139:856d2700e60b 1072
<> 139:856d2700e60b 1073 /* DWT Control Register Definitions */
<> 139:856d2700e60b 1074 #define DWT_CTRL_NUMCOMP_Pos 28 /*!< DWT CTRL: NUMCOMP Position */
<> 139:856d2700e60b 1075 #define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */
<> 139:856d2700e60b 1076
<> 139:856d2700e60b 1077 #define DWT_CTRL_NOTRCPKT_Pos 27 /*!< DWT CTRL: NOTRCPKT Position */
<> 139:856d2700e60b 1078 #define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */
<> 139:856d2700e60b 1079
<> 139:856d2700e60b 1080 #define DWT_CTRL_NOEXTTRIG_Pos 26 /*!< DWT CTRL: NOEXTTRIG Position */
<> 139:856d2700e60b 1081 #define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */
<> 139:856d2700e60b 1082
<> 139:856d2700e60b 1083 #define DWT_CTRL_NOCYCCNT_Pos 25 /*!< DWT CTRL: NOCYCCNT Position */
<> 139:856d2700e60b 1084 #define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */
<> 139:856d2700e60b 1085
<> 139:856d2700e60b 1086 #define DWT_CTRL_NOPRFCNT_Pos 24 /*!< DWT CTRL: NOPRFCNT Position */
<> 139:856d2700e60b 1087 #define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */
<> 139:856d2700e60b 1088
<> 139:856d2700e60b 1089 #define DWT_CTRL_CYCEVTENA_Pos 22 /*!< DWT CTRL: CYCEVTENA Position */
<> 139:856d2700e60b 1090 #define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */
<> 139:856d2700e60b 1091
<> 139:856d2700e60b 1092 #define DWT_CTRL_FOLDEVTENA_Pos 21 /*!< DWT CTRL: FOLDEVTENA Position */
<> 139:856d2700e60b 1093 #define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */
<> 139:856d2700e60b 1094
<> 139:856d2700e60b 1095 #define DWT_CTRL_LSUEVTENA_Pos 20 /*!< DWT CTRL: LSUEVTENA Position */
<> 139:856d2700e60b 1096 #define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */
<> 139:856d2700e60b 1097
<> 139:856d2700e60b 1098 #define DWT_CTRL_SLEEPEVTENA_Pos 19 /*!< DWT CTRL: SLEEPEVTENA Position */
<> 139:856d2700e60b 1099 #define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */
<> 139:856d2700e60b 1100
<> 139:856d2700e60b 1101 #define DWT_CTRL_EXCEVTENA_Pos 18 /*!< DWT CTRL: EXCEVTENA Position */
<> 139:856d2700e60b 1102 #define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */
<> 139:856d2700e60b 1103
<> 139:856d2700e60b 1104 #define DWT_CTRL_CPIEVTENA_Pos 17 /*!< DWT CTRL: CPIEVTENA Position */
<> 139:856d2700e60b 1105 #define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */
<> 139:856d2700e60b 1106
<> 139:856d2700e60b 1107 #define DWT_CTRL_EXCTRCENA_Pos 16 /*!< DWT CTRL: EXCTRCENA Position */
<> 139:856d2700e60b 1108 #define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */
<> 139:856d2700e60b 1109
<> 139:856d2700e60b 1110 #define DWT_CTRL_PCSAMPLENA_Pos 12 /*!< DWT CTRL: PCSAMPLENA Position */
<> 139:856d2700e60b 1111 #define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */
<> 139:856d2700e60b 1112
<> 139:856d2700e60b 1113 #define DWT_CTRL_SYNCTAP_Pos 10 /*!< DWT CTRL: SYNCTAP Position */
<> 139:856d2700e60b 1114 #define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */
<> 139:856d2700e60b 1115
<> 139:856d2700e60b 1116 #define DWT_CTRL_CYCTAP_Pos 9 /*!< DWT CTRL: CYCTAP Position */
<> 139:856d2700e60b 1117 #define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */
<> 139:856d2700e60b 1118
<> 139:856d2700e60b 1119 #define DWT_CTRL_POSTINIT_Pos 5 /*!< DWT CTRL: POSTINIT Position */
<> 139:856d2700e60b 1120 #define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */
<> 139:856d2700e60b 1121
<> 139:856d2700e60b 1122 #define DWT_CTRL_POSTPRESET_Pos 1 /*!< DWT CTRL: POSTPRESET Position */
<> 139:856d2700e60b 1123 #define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */
<> 139:856d2700e60b 1124
<> 139:856d2700e60b 1125 #define DWT_CTRL_CYCCNTENA_Pos 0 /*!< DWT CTRL: CYCCNTENA Position */
<> 139:856d2700e60b 1126 #define DWT_CTRL_CYCCNTENA_Msk (0x1UL /*<< DWT_CTRL_CYCCNTENA_Pos*/) /*!< DWT CTRL: CYCCNTENA Mask */
<> 139:856d2700e60b 1127
<> 139:856d2700e60b 1128 /* DWT CPI Count Register Definitions */
<> 139:856d2700e60b 1129 #define DWT_CPICNT_CPICNT_Pos 0 /*!< DWT CPICNT: CPICNT Position */
<> 139:856d2700e60b 1130 #define DWT_CPICNT_CPICNT_Msk (0xFFUL /*<< DWT_CPICNT_CPICNT_Pos*/) /*!< DWT CPICNT: CPICNT Mask */
<> 139:856d2700e60b 1131
<> 139:856d2700e60b 1132 /* DWT Exception Overhead Count Register Definitions */
<> 139:856d2700e60b 1133 #define DWT_EXCCNT_EXCCNT_Pos 0 /*!< DWT EXCCNT: EXCCNT Position */
<> 139:856d2700e60b 1134 #define DWT_EXCCNT_EXCCNT_Msk (0xFFUL /*<< DWT_EXCCNT_EXCCNT_Pos*/) /*!< DWT EXCCNT: EXCCNT Mask */
<> 139:856d2700e60b 1135
<> 139:856d2700e60b 1136 /* DWT Sleep Count Register Definitions */
<> 139:856d2700e60b 1137 #define DWT_SLEEPCNT_SLEEPCNT_Pos 0 /*!< DWT SLEEPCNT: SLEEPCNT Position */
<> 139:856d2700e60b 1138 #define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL /*<< DWT_SLEEPCNT_SLEEPCNT_Pos*/) /*!< DWT SLEEPCNT: SLEEPCNT Mask */
<> 139:856d2700e60b 1139
<> 139:856d2700e60b 1140 /* DWT LSU Count Register Definitions */
<> 139:856d2700e60b 1141 #define DWT_LSUCNT_LSUCNT_Pos 0 /*!< DWT LSUCNT: LSUCNT Position */
<> 139:856d2700e60b 1142 #define DWT_LSUCNT_LSUCNT_Msk (0xFFUL /*<< DWT_LSUCNT_LSUCNT_Pos*/) /*!< DWT LSUCNT: LSUCNT Mask */
<> 139:856d2700e60b 1143
<> 139:856d2700e60b 1144 /* DWT Folded-instruction Count Register Definitions */
<> 139:856d2700e60b 1145 #define DWT_FOLDCNT_FOLDCNT_Pos 0 /*!< DWT FOLDCNT: FOLDCNT Position */
<> 139:856d2700e60b 1146 #define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL /*<< DWT_FOLDCNT_FOLDCNT_Pos*/) /*!< DWT FOLDCNT: FOLDCNT Mask */
<> 139:856d2700e60b 1147
<> 139:856d2700e60b 1148 /* DWT Comparator Mask Register Definitions */
<> 139:856d2700e60b 1149 #define DWT_MASK_MASK_Pos 0 /*!< DWT MASK: MASK Position */
<> 139:856d2700e60b 1150 #define DWT_MASK_MASK_Msk (0x1FUL /*<< DWT_MASK_MASK_Pos*/) /*!< DWT MASK: MASK Mask */
<> 139:856d2700e60b 1151
<> 139:856d2700e60b 1152 /* DWT Comparator Function Register Definitions */
<> 139:856d2700e60b 1153 #define DWT_FUNCTION_MATCHED_Pos 24 /*!< DWT FUNCTION: MATCHED Position */
<> 139:856d2700e60b 1154 #define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */
<> 139:856d2700e60b 1155
<> 139:856d2700e60b 1156 #define DWT_FUNCTION_DATAVADDR1_Pos 16 /*!< DWT FUNCTION: DATAVADDR1 Position */
<> 139:856d2700e60b 1157 #define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */
<> 139:856d2700e60b 1158
<> 139:856d2700e60b 1159 #define DWT_FUNCTION_DATAVADDR0_Pos 12 /*!< DWT FUNCTION: DATAVADDR0 Position */
<> 139:856d2700e60b 1160 #define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */
<> 139:856d2700e60b 1161
<> 139:856d2700e60b 1162 #define DWT_FUNCTION_DATAVSIZE_Pos 10 /*!< DWT FUNCTION: DATAVSIZE Position */
<> 139:856d2700e60b 1163 #define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */
<> 139:856d2700e60b 1164
<> 139:856d2700e60b 1165 #define DWT_FUNCTION_LNK1ENA_Pos 9 /*!< DWT FUNCTION: LNK1ENA Position */
<> 139:856d2700e60b 1166 #define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */
<> 139:856d2700e60b 1167
<> 139:856d2700e60b 1168 #define DWT_FUNCTION_DATAVMATCH_Pos 8 /*!< DWT FUNCTION: DATAVMATCH Position */
<> 139:856d2700e60b 1169 #define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */
<> 139:856d2700e60b 1170
<> 139:856d2700e60b 1171 #define DWT_FUNCTION_CYCMATCH_Pos 7 /*!< DWT FUNCTION: CYCMATCH Position */
<> 139:856d2700e60b 1172 #define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */
<> 139:856d2700e60b 1173
<> 139:856d2700e60b 1174 #define DWT_FUNCTION_EMITRANGE_Pos 5 /*!< DWT FUNCTION: EMITRANGE Position */
<> 139:856d2700e60b 1175 #define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */
<> 139:856d2700e60b 1176
<> 139:856d2700e60b 1177 #define DWT_FUNCTION_FUNCTION_Pos 0 /*!< DWT FUNCTION: FUNCTION Position */
<> 139:856d2700e60b 1178 #define DWT_FUNCTION_FUNCTION_Msk (0xFUL /*<< DWT_FUNCTION_FUNCTION_Pos*/) /*!< DWT FUNCTION: FUNCTION Mask */
<> 139:856d2700e60b 1179
<> 139:856d2700e60b 1180 /*@}*/ /* end of group CMSIS_DWT */
<> 139:856d2700e60b 1181
<> 139:856d2700e60b 1182
<> 139:856d2700e60b 1183 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 1184 \defgroup CMSIS_TPI Trace Port Interface (TPI)
<> 139:856d2700e60b 1185 \brief Type definitions for the Trace Port Interface (TPI)
<> 139:856d2700e60b 1186 @{
<> 139:856d2700e60b 1187 */
<> 139:856d2700e60b 1188
<> 139:856d2700e60b 1189 /** \brief Structure type to access the Trace Port Interface Register (TPI).
<> 139:856d2700e60b 1190 */
<> 139:856d2700e60b 1191 typedef struct
<> 139:856d2700e60b 1192 {
<> 139:856d2700e60b 1193 __IO uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */
<> 139:856d2700e60b 1194 __IO uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */
<> 139:856d2700e60b 1195 uint32_t RESERVED0[2];
<> 139:856d2700e60b 1196 __IO uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */
<> 139:856d2700e60b 1197 uint32_t RESERVED1[55];
<> 139:856d2700e60b 1198 __IO uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */
<> 139:856d2700e60b 1199 uint32_t RESERVED2[131];
<> 139:856d2700e60b 1200 __I uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */
<> 139:856d2700e60b 1201 __IO uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */
<> 139:856d2700e60b 1202 __I uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */
<> 139:856d2700e60b 1203 uint32_t RESERVED3[759];
<> 139:856d2700e60b 1204 __I uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */
<> 139:856d2700e60b 1205 __I uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */
<> 139:856d2700e60b 1206 __I uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */
<> 139:856d2700e60b 1207 uint32_t RESERVED4[1];
<> 139:856d2700e60b 1208 __I uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */
<> 139:856d2700e60b 1209 __I uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */
<> 139:856d2700e60b 1210 __IO uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */
<> 139:856d2700e60b 1211 uint32_t RESERVED5[39];
<> 139:856d2700e60b 1212 __IO uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */
<> 139:856d2700e60b 1213 __IO uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */
<> 139:856d2700e60b 1214 uint32_t RESERVED7[8];
<> 139:856d2700e60b 1215 __I uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */
<> 139:856d2700e60b 1216 __I uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */
<> 139:856d2700e60b 1217 } TPI_Type;
<> 139:856d2700e60b 1218
<> 139:856d2700e60b 1219 /* TPI Asynchronous Clock Prescaler Register Definitions */
<> 139:856d2700e60b 1220 #define TPI_ACPR_PRESCALER_Pos 0 /*!< TPI ACPR: PRESCALER Position */
<> 139:856d2700e60b 1221 #define TPI_ACPR_PRESCALER_Msk (0x1FFFUL /*<< TPI_ACPR_PRESCALER_Pos*/) /*!< TPI ACPR: PRESCALER Mask */
<> 139:856d2700e60b 1222
<> 139:856d2700e60b 1223 /* TPI Selected Pin Protocol Register Definitions */
<> 139:856d2700e60b 1224 #define TPI_SPPR_TXMODE_Pos 0 /*!< TPI SPPR: TXMODE Position */
<> 139:856d2700e60b 1225 #define TPI_SPPR_TXMODE_Msk (0x3UL /*<< TPI_SPPR_TXMODE_Pos*/) /*!< TPI SPPR: TXMODE Mask */
<> 139:856d2700e60b 1226
<> 139:856d2700e60b 1227 /* TPI Formatter and Flush Status Register Definitions */
<> 139:856d2700e60b 1228 #define TPI_FFSR_FtNonStop_Pos 3 /*!< TPI FFSR: FtNonStop Position */
<> 139:856d2700e60b 1229 #define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */
<> 139:856d2700e60b 1230
<> 139:856d2700e60b 1231 #define TPI_FFSR_TCPresent_Pos 2 /*!< TPI FFSR: TCPresent Position */
<> 139:856d2700e60b 1232 #define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */
<> 139:856d2700e60b 1233
<> 139:856d2700e60b 1234 #define TPI_FFSR_FtStopped_Pos 1 /*!< TPI FFSR: FtStopped Position */
<> 139:856d2700e60b 1235 #define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */
<> 139:856d2700e60b 1236
<> 139:856d2700e60b 1237 #define TPI_FFSR_FlInProg_Pos 0 /*!< TPI FFSR: FlInProg Position */
<> 139:856d2700e60b 1238 #define TPI_FFSR_FlInProg_Msk (0x1UL /*<< TPI_FFSR_FlInProg_Pos*/) /*!< TPI FFSR: FlInProg Mask */
<> 139:856d2700e60b 1239
<> 139:856d2700e60b 1240 /* TPI Formatter and Flush Control Register Definitions */
<> 139:856d2700e60b 1241 #define TPI_FFCR_TrigIn_Pos 8 /*!< TPI FFCR: TrigIn Position */
<> 139:856d2700e60b 1242 #define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */
<> 139:856d2700e60b 1243
<> 139:856d2700e60b 1244 #define TPI_FFCR_EnFCont_Pos 1 /*!< TPI FFCR: EnFCont Position */
<> 139:856d2700e60b 1245 #define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */
<> 139:856d2700e60b 1246
<> 139:856d2700e60b 1247 /* TPI TRIGGER Register Definitions */
<> 139:856d2700e60b 1248 #define TPI_TRIGGER_TRIGGER_Pos 0 /*!< TPI TRIGGER: TRIGGER Position */
<> 139:856d2700e60b 1249 #define TPI_TRIGGER_TRIGGER_Msk (0x1UL /*<< TPI_TRIGGER_TRIGGER_Pos*/) /*!< TPI TRIGGER: TRIGGER Mask */
<> 139:856d2700e60b 1250
<> 139:856d2700e60b 1251 /* TPI Integration ETM Data Register Definitions (FIFO0) */
<> 139:856d2700e60b 1252 #define TPI_FIFO0_ITM_ATVALID_Pos 29 /*!< TPI FIFO0: ITM_ATVALID Position */
<> 139:856d2700e60b 1253 #define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */
<> 139:856d2700e60b 1254
<> 139:856d2700e60b 1255 #define TPI_FIFO0_ITM_bytecount_Pos 27 /*!< TPI FIFO0: ITM_bytecount Position */
<> 139:856d2700e60b 1256 #define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */
<> 139:856d2700e60b 1257
<> 139:856d2700e60b 1258 #define TPI_FIFO0_ETM_ATVALID_Pos 26 /*!< TPI FIFO0: ETM_ATVALID Position */
<> 139:856d2700e60b 1259 #define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */
<> 139:856d2700e60b 1260
<> 139:856d2700e60b 1261 #define TPI_FIFO0_ETM_bytecount_Pos 24 /*!< TPI FIFO0: ETM_bytecount Position */
<> 139:856d2700e60b 1262 #define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */
<> 139:856d2700e60b 1263
<> 139:856d2700e60b 1264 #define TPI_FIFO0_ETM2_Pos 16 /*!< TPI FIFO0: ETM2 Position */
<> 139:856d2700e60b 1265 #define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */
<> 139:856d2700e60b 1266
<> 139:856d2700e60b 1267 #define TPI_FIFO0_ETM1_Pos 8 /*!< TPI FIFO0: ETM1 Position */
<> 139:856d2700e60b 1268 #define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */
<> 139:856d2700e60b 1269
<> 139:856d2700e60b 1270 #define TPI_FIFO0_ETM0_Pos 0 /*!< TPI FIFO0: ETM0 Position */
<> 139:856d2700e60b 1271 #define TPI_FIFO0_ETM0_Msk (0xFFUL /*<< TPI_FIFO0_ETM0_Pos*/) /*!< TPI FIFO0: ETM0 Mask */
<> 139:856d2700e60b 1272
<> 139:856d2700e60b 1273 /* TPI ITATBCTR2 Register Definitions */
<> 139:856d2700e60b 1274 #define TPI_ITATBCTR2_ATREADY_Pos 0 /*!< TPI ITATBCTR2: ATREADY Position */
<> 139:856d2700e60b 1275 #define TPI_ITATBCTR2_ATREADY_Msk (0x1UL /*<< TPI_ITATBCTR2_ATREADY_Pos*/) /*!< TPI ITATBCTR2: ATREADY Mask */
<> 139:856d2700e60b 1276
<> 139:856d2700e60b 1277 /* TPI Integration ITM Data Register Definitions (FIFO1) */
<> 139:856d2700e60b 1278 #define TPI_FIFO1_ITM_ATVALID_Pos 29 /*!< TPI FIFO1: ITM_ATVALID Position */
<> 139:856d2700e60b 1279 #define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */
<> 139:856d2700e60b 1280
<> 139:856d2700e60b 1281 #define TPI_FIFO1_ITM_bytecount_Pos 27 /*!< TPI FIFO1: ITM_bytecount Position */
<> 139:856d2700e60b 1282 #define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */
<> 139:856d2700e60b 1283
<> 139:856d2700e60b 1284 #define TPI_FIFO1_ETM_ATVALID_Pos 26 /*!< TPI FIFO1: ETM_ATVALID Position */
<> 139:856d2700e60b 1285 #define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */
<> 139:856d2700e60b 1286
<> 139:856d2700e60b 1287 #define TPI_FIFO1_ETM_bytecount_Pos 24 /*!< TPI FIFO1: ETM_bytecount Position */
<> 139:856d2700e60b 1288 #define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */
<> 139:856d2700e60b 1289
<> 139:856d2700e60b 1290 #define TPI_FIFO1_ITM2_Pos 16 /*!< TPI FIFO1: ITM2 Position */
<> 139:856d2700e60b 1291 #define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */
<> 139:856d2700e60b 1292
<> 139:856d2700e60b 1293 #define TPI_FIFO1_ITM1_Pos 8 /*!< TPI FIFO1: ITM1 Position */
<> 139:856d2700e60b 1294 #define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */
<> 139:856d2700e60b 1295
<> 139:856d2700e60b 1296 #define TPI_FIFO1_ITM0_Pos 0 /*!< TPI FIFO1: ITM0 Position */
<> 139:856d2700e60b 1297 #define TPI_FIFO1_ITM0_Msk (0xFFUL /*<< TPI_FIFO1_ITM0_Pos*/) /*!< TPI FIFO1: ITM0 Mask */
<> 139:856d2700e60b 1298
<> 139:856d2700e60b 1299 /* TPI ITATBCTR0 Register Definitions */
<> 139:856d2700e60b 1300 #define TPI_ITATBCTR0_ATREADY_Pos 0 /*!< TPI ITATBCTR0: ATREADY Position */
<> 139:856d2700e60b 1301 #define TPI_ITATBCTR0_ATREADY_Msk (0x1UL /*<< TPI_ITATBCTR0_ATREADY_Pos*/) /*!< TPI ITATBCTR0: ATREADY Mask */
<> 139:856d2700e60b 1302
<> 139:856d2700e60b 1303 /* TPI Integration Mode Control Register Definitions */
<> 139:856d2700e60b 1304 #define TPI_ITCTRL_Mode_Pos 0 /*!< TPI ITCTRL: Mode Position */
<> 139:856d2700e60b 1305 #define TPI_ITCTRL_Mode_Msk (0x1UL /*<< TPI_ITCTRL_Mode_Pos*/) /*!< TPI ITCTRL: Mode Mask */
<> 139:856d2700e60b 1306
<> 139:856d2700e60b 1307 /* TPI DEVID Register Definitions */
<> 139:856d2700e60b 1308 #define TPI_DEVID_NRZVALID_Pos 11 /*!< TPI DEVID: NRZVALID Position */
<> 139:856d2700e60b 1309 #define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */
<> 139:856d2700e60b 1310
<> 139:856d2700e60b 1311 #define TPI_DEVID_MANCVALID_Pos 10 /*!< TPI DEVID: MANCVALID Position */
<> 139:856d2700e60b 1312 #define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */
<> 139:856d2700e60b 1313
<> 139:856d2700e60b 1314 #define TPI_DEVID_PTINVALID_Pos 9 /*!< TPI DEVID: PTINVALID Position */
<> 139:856d2700e60b 1315 #define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */
<> 139:856d2700e60b 1316
<> 139:856d2700e60b 1317 #define TPI_DEVID_MinBufSz_Pos 6 /*!< TPI DEVID: MinBufSz Position */
<> 139:856d2700e60b 1318 #define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */
<> 139:856d2700e60b 1319
<> 139:856d2700e60b 1320 #define TPI_DEVID_AsynClkIn_Pos 5 /*!< TPI DEVID: AsynClkIn Position */
<> 139:856d2700e60b 1321 #define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */
<> 139:856d2700e60b 1322
<> 139:856d2700e60b 1323 #define TPI_DEVID_NrTraceInput_Pos 0 /*!< TPI DEVID: NrTraceInput Position */
<> 139:856d2700e60b 1324 #define TPI_DEVID_NrTraceInput_Msk (0x1FUL /*<< TPI_DEVID_NrTraceInput_Pos*/) /*!< TPI DEVID: NrTraceInput Mask */
<> 139:856d2700e60b 1325
<> 139:856d2700e60b 1326 /* TPI DEVTYPE Register Definitions */
<> 139:856d2700e60b 1327 #define TPI_DEVTYPE_MajorType_Pos 4 /*!< TPI DEVTYPE: MajorType Position */
<> 139:856d2700e60b 1328 #define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */
<> 139:856d2700e60b 1329
<> 139:856d2700e60b 1330 #define TPI_DEVTYPE_SubType_Pos 0 /*!< TPI DEVTYPE: SubType Position */
<> 139:856d2700e60b 1331 #define TPI_DEVTYPE_SubType_Msk (0xFUL /*<< TPI_DEVTYPE_SubType_Pos*/) /*!< TPI DEVTYPE: SubType Mask */
<> 139:856d2700e60b 1332
<> 139:856d2700e60b 1333 /*@}*/ /* end of group CMSIS_TPI */
<> 139:856d2700e60b 1334
<> 139:856d2700e60b 1335
<> 139:856d2700e60b 1336 #if (__MPU_PRESENT == 1)
<> 139:856d2700e60b 1337 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 1338 \defgroup CMSIS_MPU Memory Protection Unit (MPU)
<> 139:856d2700e60b 1339 \brief Type definitions for the Memory Protection Unit (MPU)
<> 139:856d2700e60b 1340 @{
<> 139:856d2700e60b 1341 */
<> 139:856d2700e60b 1342
<> 139:856d2700e60b 1343 /** \brief Structure type to access the Memory Protection Unit (MPU).
<> 139:856d2700e60b 1344 */
<> 139:856d2700e60b 1345 typedef struct
<> 139:856d2700e60b 1346 {
<> 139:856d2700e60b 1347 __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */
<> 139:856d2700e60b 1348 __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */
<> 139:856d2700e60b 1349 __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */
<> 139:856d2700e60b 1350 __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */
<> 139:856d2700e60b 1351 __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */
<> 139:856d2700e60b 1352 __IO uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */
<> 139:856d2700e60b 1353 __IO uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */
<> 139:856d2700e60b 1354 __IO uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */
<> 139:856d2700e60b 1355 __IO uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */
<> 139:856d2700e60b 1356 __IO uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */
<> 139:856d2700e60b 1357 __IO uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */
<> 139:856d2700e60b 1358 } MPU_Type;
<> 139:856d2700e60b 1359
<> 139:856d2700e60b 1360 /* MPU Type Register */
<> 139:856d2700e60b 1361 #define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */
<> 139:856d2700e60b 1362 #define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */
<> 139:856d2700e60b 1363
<> 139:856d2700e60b 1364 #define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */
<> 139:856d2700e60b 1365 #define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */
<> 139:856d2700e60b 1366
<> 139:856d2700e60b 1367 #define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */
<> 139:856d2700e60b 1368 #define MPU_TYPE_SEPARATE_Msk (1UL /*<< MPU_TYPE_SEPARATE_Pos*/) /*!< MPU TYPE: SEPARATE Mask */
<> 139:856d2700e60b 1369
<> 139:856d2700e60b 1370 /* MPU Control Register */
<> 139:856d2700e60b 1371 #define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */
<> 139:856d2700e60b 1372 #define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */
<> 139:856d2700e60b 1373
<> 139:856d2700e60b 1374 #define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */
<> 139:856d2700e60b 1375 #define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */
<> 139:856d2700e60b 1376
<> 139:856d2700e60b 1377 #define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */
<> 139:856d2700e60b 1378 #define MPU_CTRL_ENABLE_Msk (1UL /*<< MPU_CTRL_ENABLE_Pos*/) /*!< MPU CTRL: ENABLE Mask */
<> 139:856d2700e60b 1379
<> 139:856d2700e60b 1380 /* MPU Region Number Register */
<> 139:856d2700e60b 1381 #define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */
<> 139:856d2700e60b 1382 #define MPU_RNR_REGION_Msk (0xFFUL /*<< MPU_RNR_REGION_Pos*/) /*!< MPU RNR: REGION Mask */
<> 139:856d2700e60b 1383
<> 139:856d2700e60b 1384 /* MPU Region Base Address Register */
<> 139:856d2700e60b 1385 #define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */
<> 139:856d2700e60b 1386 #define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */
<> 139:856d2700e60b 1387
<> 139:856d2700e60b 1388 #define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */
<> 139:856d2700e60b 1389 #define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */
<> 139:856d2700e60b 1390
<> 139:856d2700e60b 1391 #define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */
<> 139:856d2700e60b 1392 #define MPU_RBAR_REGION_Msk (0xFUL /*<< MPU_RBAR_REGION_Pos*/) /*!< MPU RBAR: REGION Mask */
<> 139:856d2700e60b 1393
<> 139:856d2700e60b 1394 /* MPU Region Attribute and Size Register */
<> 139:856d2700e60b 1395 #define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */
<> 139:856d2700e60b 1396 #define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */
<> 139:856d2700e60b 1397
<> 139:856d2700e60b 1398 #define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */
<> 139:856d2700e60b 1399 #define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */
<> 139:856d2700e60b 1400
<> 139:856d2700e60b 1401 #define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */
<> 139:856d2700e60b 1402 #define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */
<> 139:856d2700e60b 1403
<> 139:856d2700e60b 1404 #define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */
<> 139:856d2700e60b 1405 #define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */
<> 139:856d2700e60b 1406
<> 139:856d2700e60b 1407 #define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */
<> 139:856d2700e60b 1408 #define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */
<> 139:856d2700e60b 1409
<> 139:856d2700e60b 1410 #define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */
<> 139:856d2700e60b 1411 #define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */
<> 139:856d2700e60b 1412
<> 139:856d2700e60b 1413 #define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */
<> 139:856d2700e60b 1414 #define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */
<> 139:856d2700e60b 1415
<> 139:856d2700e60b 1416 #define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */
<> 139:856d2700e60b 1417 #define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */
<> 139:856d2700e60b 1418
<> 139:856d2700e60b 1419 #define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */
<> 139:856d2700e60b 1420 #define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */
<> 139:856d2700e60b 1421
<> 139:856d2700e60b 1422 #define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */
<> 139:856d2700e60b 1423 #define MPU_RASR_ENABLE_Msk (1UL /*<< MPU_RASR_ENABLE_Pos*/) /*!< MPU RASR: Region enable bit Disable Mask */
<> 139:856d2700e60b 1424
<> 139:856d2700e60b 1425 /*@} end of group CMSIS_MPU */
<> 139:856d2700e60b 1426 #endif
<> 139:856d2700e60b 1427
<> 139:856d2700e60b 1428
<> 139:856d2700e60b 1429 #if (__FPU_PRESENT == 1)
<> 139:856d2700e60b 1430 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 1431 \defgroup CMSIS_FPU Floating Point Unit (FPU)
<> 139:856d2700e60b 1432 \brief Type definitions for the Floating Point Unit (FPU)
<> 139:856d2700e60b 1433 @{
<> 139:856d2700e60b 1434 */
<> 139:856d2700e60b 1435
<> 139:856d2700e60b 1436 /** \brief Structure type to access the Floating Point Unit (FPU).
<> 139:856d2700e60b 1437 */
<> 139:856d2700e60b 1438 typedef struct
<> 139:856d2700e60b 1439 {
<> 139:856d2700e60b 1440 uint32_t RESERVED0[1];
<> 139:856d2700e60b 1441 __IO uint32_t FPCCR; /*!< Offset: 0x004 (R/W) Floating-Point Context Control Register */
<> 139:856d2700e60b 1442 __IO uint32_t FPCAR; /*!< Offset: 0x008 (R/W) Floating-Point Context Address Register */
<> 139:856d2700e60b 1443 __IO uint32_t FPDSCR; /*!< Offset: 0x00C (R/W) Floating-Point Default Status Control Register */
<> 139:856d2700e60b 1444 __I uint32_t MVFR0; /*!< Offset: 0x010 (R/ ) Media and FP Feature Register 0 */
<> 139:856d2700e60b 1445 __I uint32_t MVFR1; /*!< Offset: 0x014 (R/ ) Media and FP Feature Register 1 */
<> 139:856d2700e60b 1446 __I uint32_t MVFR2; /*!< Offset: 0x018 (R/ ) Media and FP Feature Register 2 */
<> 139:856d2700e60b 1447 } FPU_Type;
<> 139:856d2700e60b 1448
<> 139:856d2700e60b 1449 /* Floating-Point Context Control Register */
<> 139:856d2700e60b 1450 #define FPU_FPCCR_ASPEN_Pos 31 /*!< FPCCR: ASPEN bit Position */
<> 139:856d2700e60b 1451 #define FPU_FPCCR_ASPEN_Msk (1UL << FPU_FPCCR_ASPEN_Pos) /*!< FPCCR: ASPEN bit Mask */
<> 139:856d2700e60b 1452
<> 139:856d2700e60b 1453 #define FPU_FPCCR_LSPEN_Pos 30 /*!< FPCCR: LSPEN Position */
<> 139:856d2700e60b 1454 #define FPU_FPCCR_LSPEN_Msk (1UL << FPU_FPCCR_LSPEN_Pos) /*!< FPCCR: LSPEN bit Mask */
<> 139:856d2700e60b 1455
<> 139:856d2700e60b 1456 #define FPU_FPCCR_MONRDY_Pos 8 /*!< FPCCR: MONRDY Position */
<> 139:856d2700e60b 1457 #define FPU_FPCCR_MONRDY_Msk (1UL << FPU_FPCCR_MONRDY_Pos) /*!< FPCCR: MONRDY bit Mask */
<> 139:856d2700e60b 1458
<> 139:856d2700e60b 1459 #define FPU_FPCCR_BFRDY_Pos 6 /*!< FPCCR: BFRDY Position */
<> 139:856d2700e60b 1460 #define FPU_FPCCR_BFRDY_Msk (1UL << FPU_FPCCR_BFRDY_Pos) /*!< FPCCR: BFRDY bit Mask */
<> 139:856d2700e60b 1461
<> 139:856d2700e60b 1462 #define FPU_FPCCR_MMRDY_Pos 5 /*!< FPCCR: MMRDY Position */
<> 139:856d2700e60b 1463 #define FPU_FPCCR_MMRDY_Msk (1UL << FPU_FPCCR_MMRDY_Pos) /*!< FPCCR: MMRDY bit Mask */
<> 139:856d2700e60b 1464
<> 139:856d2700e60b 1465 #define FPU_FPCCR_HFRDY_Pos 4 /*!< FPCCR: HFRDY Position */
<> 139:856d2700e60b 1466 #define FPU_FPCCR_HFRDY_Msk (1UL << FPU_FPCCR_HFRDY_Pos) /*!< FPCCR: HFRDY bit Mask */
<> 139:856d2700e60b 1467
<> 139:856d2700e60b 1468 #define FPU_FPCCR_THREAD_Pos 3 /*!< FPCCR: processor mode bit Position */
<> 139:856d2700e60b 1469 #define FPU_FPCCR_THREAD_Msk (1UL << FPU_FPCCR_THREAD_Pos) /*!< FPCCR: processor mode active bit Mask */
<> 139:856d2700e60b 1470
<> 139:856d2700e60b 1471 #define FPU_FPCCR_USER_Pos 1 /*!< FPCCR: privilege level bit Position */
<> 139:856d2700e60b 1472 #define FPU_FPCCR_USER_Msk (1UL << FPU_FPCCR_USER_Pos) /*!< FPCCR: privilege level bit Mask */
<> 139:856d2700e60b 1473
<> 139:856d2700e60b 1474 #define FPU_FPCCR_LSPACT_Pos 0 /*!< FPCCR: Lazy state preservation active bit Position */
<> 139:856d2700e60b 1475 #define FPU_FPCCR_LSPACT_Msk (1UL /*<< FPU_FPCCR_LSPACT_Pos*/) /*!< FPCCR: Lazy state preservation active bit Mask */
<> 139:856d2700e60b 1476
<> 139:856d2700e60b 1477 /* Floating-Point Context Address Register */
<> 139:856d2700e60b 1478 #define FPU_FPCAR_ADDRESS_Pos 3 /*!< FPCAR: ADDRESS bit Position */
<> 139:856d2700e60b 1479 #define FPU_FPCAR_ADDRESS_Msk (0x1FFFFFFFUL << FPU_FPCAR_ADDRESS_Pos) /*!< FPCAR: ADDRESS bit Mask */
<> 139:856d2700e60b 1480
<> 139:856d2700e60b 1481 /* Floating-Point Default Status Control Register */
<> 139:856d2700e60b 1482 #define FPU_FPDSCR_AHP_Pos 26 /*!< FPDSCR: AHP bit Position */
<> 139:856d2700e60b 1483 #define FPU_FPDSCR_AHP_Msk (1UL << FPU_FPDSCR_AHP_Pos) /*!< FPDSCR: AHP bit Mask */
<> 139:856d2700e60b 1484
<> 139:856d2700e60b 1485 #define FPU_FPDSCR_DN_Pos 25 /*!< FPDSCR: DN bit Position */
<> 139:856d2700e60b 1486 #define FPU_FPDSCR_DN_Msk (1UL << FPU_FPDSCR_DN_Pos) /*!< FPDSCR: DN bit Mask */
<> 139:856d2700e60b 1487
<> 139:856d2700e60b 1488 #define FPU_FPDSCR_FZ_Pos 24 /*!< FPDSCR: FZ bit Position */
<> 139:856d2700e60b 1489 #define FPU_FPDSCR_FZ_Msk (1UL << FPU_FPDSCR_FZ_Pos) /*!< FPDSCR: FZ bit Mask */
<> 139:856d2700e60b 1490
<> 139:856d2700e60b 1491 #define FPU_FPDSCR_RMode_Pos 22 /*!< FPDSCR: RMode bit Position */
<> 139:856d2700e60b 1492 #define FPU_FPDSCR_RMode_Msk (3UL << FPU_FPDSCR_RMode_Pos) /*!< FPDSCR: RMode bit Mask */
<> 139:856d2700e60b 1493
<> 139:856d2700e60b 1494 /* Media and FP Feature Register 0 */
<> 139:856d2700e60b 1495 #define FPU_MVFR0_FP_rounding_modes_Pos 28 /*!< MVFR0: FP rounding modes bits Position */
<> 139:856d2700e60b 1496 #define FPU_MVFR0_FP_rounding_modes_Msk (0xFUL << FPU_MVFR0_FP_rounding_modes_Pos) /*!< MVFR0: FP rounding modes bits Mask */
<> 139:856d2700e60b 1497
<> 139:856d2700e60b 1498 #define FPU_MVFR0_Short_vectors_Pos 24 /*!< MVFR0: Short vectors bits Position */
<> 139:856d2700e60b 1499 #define FPU_MVFR0_Short_vectors_Msk (0xFUL << FPU_MVFR0_Short_vectors_Pos) /*!< MVFR0: Short vectors bits Mask */
<> 139:856d2700e60b 1500
<> 139:856d2700e60b 1501 #define FPU_MVFR0_Square_root_Pos 20 /*!< MVFR0: Square root bits Position */
<> 139:856d2700e60b 1502 #define FPU_MVFR0_Square_root_Msk (0xFUL << FPU_MVFR0_Square_root_Pos) /*!< MVFR0: Square root bits Mask */
<> 139:856d2700e60b 1503
<> 139:856d2700e60b 1504 #define FPU_MVFR0_Divide_Pos 16 /*!< MVFR0: Divide bits Position */
<> 139:856d2700e60b 1505 #define FPU_MVFR0_Divide_Msk (0xFUL << FPU_MVFR0_Divide_Pos) /*!< MVFR0: Divide bits Mask */
<> 139:856d2700e60b 1506
<> 139:856d2700e60b 1507 #define FPU_MVFR0_FP_excep_trapping_Pos 12 /*!< MVFR0: FP exception trapping bits Position */
<> 139:856d2700e60b 1508 #define FPU_MVFR0_FP_excep_trapping_Msk (0xFUL << FPU_MVFR0_FP_excep_trapping_Pos) /*!< MVFR0: FP exception trapping bits Mask */
<> 139:856d2700e60b 1509
<> 139:856d2700e60b 1510 #define FPU_MVFR0_Double_precision_Pos 8 /*!< MVFR0: Double-precision bits Position */
<> 139:856d2700e60b 1511 #define FPU_MVFR0_Double_precision_Msk (0xFUL << FPU_MVFR0_Double_precision_Pos) /*!< MVFR0: Double-precision bits Mask */
<> 139:856d2700e60b 1512
<> 139:856d2700e60b 1513 #define FPU_MVFR0_Single_precision_Pos 4 /*!< MVFR0: Single-precision bits Position */
<> 139:856d2700e60b 1514 #define FPU_MVFR0_Single_precision_Msk (0xFUL << FPU_MVFR0_Single_precision_Pos) /*!< MVFR0: Single-precision bits Mask */
<> 139:856d2700e60b 1515
<> 139:856d2700e60b 1516 #define FPU_MVFR0_A_SIMD_registers_Pos 0 /*!< MVFR0: A_SIMD registers bits Position */
<> 139:856d2700e60b 1517 #define FPU_MVFR0_A_SIMD_registers_Msk (0xFUL /*<< FPU_MVFR0_A_SIMD_registers_Pos*/) /*!< MVFR0: A_SIMD registers bits Mask */
<> 139:856d2700e60b 1518
<> 139:856d2700e60b 1519 /* Media and FP Feature Register 1 */
<> 139:856d2700e60b 1520 #define FPU_MVFR1_FP_fused_MAC_Pos 28 /*!< MVFR1: FP fused MAC bits Position */
<> 139:856d2700e60b 1521 #define FPU_MVFR1_FP_fused_MAC_Msk (0xFUL << FPU_MVFR1_FP_fused_MAC_Pos) /*!< MVFR1: FP fused MAC bits Mask */
<> 139:856d2700e60b 1522
<> 139:856d2700e60b 1523 #define FPU_MVFR1_FP_HPFP_Pos 24 /*!< MVFR1: FP HPFP bits Position */
<> 139:856d2700e60b 1524 #define FPU_MVFR1_FP_HPFP_Msk (0xFUL << FPU_MVFR1_FP_HPFP_Pos) /*!< MVFR1: FP HPFP bits Mask */
<> 139:856d2700e60b 1525
<> 139:856d2700e60b 1526 #define FPU_MVFR1_D_NaN_mode_Pos 4 /*!< MVFR1: D_NaN mode bits Position */
<> 139:856d2700e60b 1527 #define FPU_MVFR1_D_NaN_mode_Msk (0xFUL << FPU_MVFR1_D_NaN_mode_Pos) /*!< MVFR1: D_NaN mode bits Mask */
<> 139:856d2700e60b 1528
<> 139:856d2700e60b 1529 #define FPU_MVFR1_FtZ_mode_Pos 0 /*!< MVFR1: FtZ mode bits Position */
<> 139:856d2700e60b 1530 #define FPU_MVFR1_FtZ_mode_Msk (0xFUL /*<< FPU_MVFR1_FtZ_mode_Pos*/) /*!< MVFR1: FtZ mode bits Mask */
<> 139:856d2700e60b 1531
<> 139:856d2700e60b 1532 /* Media and FP Feature Register 2 */
<> 139:856d2700e60b 1533
<> 139:856d2700e60b 1534 /*@} end of group CMSIS_FPU */
<> 139:856d2700e60b 1535 #endif
<> 139:856d2700e60b 1536
<> 139:856d2700e60b 1537
<> 139:856d2700e60b 1538 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 1539 \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug)
<> 139:856d2700e60b 1540 \brief Type definitions for the Core Debug Registers
<> 139:856d2700e60b 1541 @{
<> 139:856d2700e60b 1542 */
<> 139:856d2700e60b 1543
<> 139:856d2700e60b 1544 /** \brief Structure type to access the Core Debug Register (CoreDebug).
<> 139:856d2700e60b 1545 */
<> 139:856d2700e60b 1546 typedef struct
<> 139:856d2700e60b 1547 {
<> 139:856d2700e60b 1548 __IO uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */
<> 139:856d2700e60b 1549 __O uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */
<> 139:856d2700e60b 1550 __IO uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */
<> 139:856d2700e60b 1551 __IO uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */
<> 139:856d2700e60b 1552 } CoreDebug_Type;
<> 139:856d2700e60b 1553
<> 139:856d2700e60b 1554 /* Debug Halting Control and Status Register */
<> 139:856d2700e60b 1555 #define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */
<> 139:856d2700e60b 1556 #define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */
<> 139:856d2700e60b 1557
<> 139:856d2700e60b 1558 #define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */
<> 139:856d2700e60b 1559 #define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */
<> 139:856d2700e60b 1560
<> 139:856d2700e60b 1561 #define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */
<> 139:856d2700e60b 1562 #define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */
<> 139:856d2700e60b 1563
<> 139:856d2700e60b 1564 #define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */
<> 139:856d2700e60b 1565 #define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */
<> 139:856d2700e60b 1566
<> 139:856d2700e60b 1567 #define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */
<> 139:856d2700e60b 1568 #define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */
<> 139:856d2700e60b 1569
<> 139:856d2700e60b 1570 #define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */
<> 139:856d2700e60b 1571 #define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */
<> 139:856d2700e60b 1572
<> 139:856d2700e60b 1573 #define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */
<> 139:856d2700e60b 1574 #define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */
<> 139:856d2700e60b 1575
<> 139:856d2700e60b 1576 #define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */
<> 139:856d2700e60b 1577 #define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */
<> 139:856d2700e60b 1578
<> 139:856d2700e60b 1579 #define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */
<> 139:856d2700e60b 1580 #define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */
<> 139:856d2700e60b 1581
<> 139:856d2700e60b 1582 #define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */
<> 139:856d2700e60b 1583 #define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */
<> 139:856d2700e60b 1584
<> 139:856d2700e60b 1585 #define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */
<> 139:856d2700e60b 1586 #define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */
<> 139:856d2700e60b 1587
<> 139:856d2700e60b 1588 #define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */
<> 139:856d2700e60b 1589 #define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL /*<< CoreDebug_DHCSR_C_DEBUGEN_Pos*/) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */
<> 139:856d2700e60b 1590
<> 139:856d2700e60b 1591 /* Debug Core Register Selector Register */
<> 139:856d2700e60b 1592 #define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */
<> 139:856d2700e60b 1593 #define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */
<> 139:856d2700e60b 1594
<> 139:856d2700e60b 1595 #define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */
<> 139:856d2700e60b 1596 #define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL /*<< CoreDebug_DCRSR_REGSEL_Pos*/) /*!< CoreDebug DCRSR: REGSEL Mask */
<> 139:856d2700e60b 1597
<> 139:856d2700e60b 1598 /* Debug Exception and Monitor Control Register */
<> 139:856d2700e60b 1599 #define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */
<> 139:856d2700e60b 1600 #define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */
<> 139:856d2700e60b 1601
<> 139:856d2700e60b 1602 #define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */
<> 139:856d2700e60b 1603 #define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */
<> 139:856d2700e60b 1604
<> 139:856d2700e60b 1605 #define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */
<> 139:856d2700e60b 1606 #define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */
<> 139:856d2700e60b 1607
<> 139:856d2700e60b 1608 #define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */
<> 139:856d2700e60b 1609 #define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */
<> 139:856d2700e60b 1610
<> 139:856d2700e60b 1611 #define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */
<> 139:856d2700e60b 1612 #define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */
<> 139:856d2700e60b 1613
<> 139:856d2700e60b 1614 #define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */
<> 139:856d2700e60b 1615 #define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */
<> 139:856d2700e60b 1616
<> 139:856d2700e60b 1617 #define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */
<> 139:856d2700e60b 1618 #define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */
<> 139:856d2700e60b 1619
<> 139:856d2700e60b 1620 #define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */
<> 139:856d2700e60b 1621 #define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */
<> 139:856d2700e60b 1622
<> 139:856d2700e60b 1623 #define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */
<> 139:856d2700e60b 1624 #define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */
<> 139:856d2700e60b 1625
<> 139:856d2700e60b 1626 #define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */
<> 139:856d2700e60b 1627 #define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */
<> 139:856d2700e60b 1628
<> 139:856d2700e60b 1629 #define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */
<> 139:856d2700e60b 1630 #define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */
<> 139:856d2700e60b 1631
<> 139:856d2700e60b 1632 #define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */
<> 139:856d2700e60b 1633 #define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */
<> 139:856d2700e60b 1634
<> 139:856d2700e60b 1635 #define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */
<> 139:856d2700e60b 1636 #define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL /*<< CoreDebug_DEMCR_VC_CORERESET_Pos*/) /*!< CoreDebug DEMCR: VC_CORERESET Mask */
<> 139:856d2700e60b 1637
<> 139:856d2700e60b 1638 /*@} end of group CMSIS_CoreDebug */
<> 139:856d2700e60b 1639
<> 139:856d2700e60b 1640
<> 139:856d2700e60b 1641 /** \ingroup CMSIS_core_register
<> 139:856d2700e60b 1642 \defgroup CMSIS_core_base Core Definitions
<> 139:856d2700e60b 1643 \brief Definitions for base addresses, unions, and structures.
<> 139:856d2700e60b 1644 @{
<> 139:856d2700e60b 1645 */
<> 139:856d2700e60b 1646
<> 139:856d2700e60b 1647 /* Memory mapping of Cortex-M4 Hardware */
<> 139:856d2700e60b 1648 #define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */
<> 139:856d2700e60b 1649 #define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */
<> 139:856d2700e60b 1650 #define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */
<> 139:856d2700e60b 1651 #define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */
<> 139:856d2700e60b 1652 #define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */
<> 139:856d2700e60b 1653 #define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */
<> 139:856d2700e60b 1654 #define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */
<> 139:856d2700e60b 1655 #define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */
<> 139:856d2700e60b 1656
<> 139:856d2700e60b 1657 #define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */
<> 139:856d2700e60b 1658 #define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */
<> 139:856d2700e60b 1659 #define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */
<> 139:856d2700e60b 1660 #define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */
<> 139:856d2700e60b 1661 #define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */
<> 139:856d2700e60b 1662 #define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */
<> 139:856d2700e60b 1663 #define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */
<> 139:856d2700e60b 1664 #define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */
<> 139:856d2700e60b 1665
<> 139:856d2700e60b 1666 #if (__MPU_PRESENT == 1)
<> 139:856d2700e60b 1667 #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */
<> 139:856d2700e60b 1668 #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */
<> 139:856d2700e60b 1669 #endif
<> 139:856d2700e60b 1670
<> 139:856d2700e60b 1671 #if (__FPU_PRESENT == 1)
<> 139:856d2700e60b 1672 #define FPU_BASE (SCS_BASE + 0x0F30UL) /*!< Floating Point Unit */
<> 139:856d2700e60b 1673 #define FPU ((FPU_Type *) FPU_BASE ) /*!< Floating Point Unit */
<> 139:856d2700e60b 1674 #endif
<> 139:856d2700e60b 1675
<> 139:856d2700e60b 1676 /*@} */
<> 139:856d2700e60b 1677
<> 139:856d2700e60b 1678
<> 139:856d2700e60b 1679
<> 139:856d2700e60b 1680 /*******************************************************************************
<> 139:856d2700e60b 1681 * Hardware Abstraction Layer
<> 139:856d2700e60b 1682 Core Function Interface contains:
<> 139:856d2700e60b 1683 - Core NVIC Functions
<> 139:856d2700e60b 1684 - Core SysTick Functions
<> 139:856d2700e60b 1685 - Core Debug Functions
<> 139:856d2700e60b 1686 - Core Register Access Functions
<> 139:856d2700e60b 1687 ******************************************************************************/
<> 139:856d2700e60b 1688 /** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference
<> 139:856d2700e60b 1689 */
<> 139:856d2700e60b 1690
<> 139:856d2700e60b 1691
<> 139:856d2700e60b 1692
<> 139:856d2700e60b 1693 /* ########################## NVIC functions #################################### */
<> 139:856d2700e60b 1694 /** \ingroup CMSIS_Core_FunctionInterface
<> 139:856d2700e60b 1695 \defgroup CMSIS_Core_NVICFunctions NVIC Functions
<> 139:856d2700e60b 1696 \brief Functions that manage interrupts and exceptions via the NVIC.
<> 139:856d2700e60b 1697 @{
<> 139:856d2700e60b 1698 */
<> 139:856d2700e60b 1699
<> 139:856d2700e60b 1700 /** \brief Set Priority Grouping
<> 139:856d2700e60b 1701
<> 139:856d2700e60b 1702 The function sets the priority grouping field using the required unlock sequence.
<> 139:856d2700e60b 1703 The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field.
<> 139:856d2700e60b 1704 Only values from 0..7 are used.
<> 139:856d2700e60b 1705 In case of a conflict between priority grouping and available
<> 139:856d2700e60b 1706 priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set.
<> 139:856d2700e60b 1707
<> 139:856d2700e60b 1708 \param [in] PriorityGroup Priority grouping field.
<> 139:856d2700e60b 1709 */
<> 139:856d2700e60b 1710 __STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup)
<> 139:856d2700e60b 1711 {
<> 139:856d2700e60b 1712 uint32_t reg_value;
<> 139:856d2700e60b 1713 uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */
<> 139:856d2700e60b 1714
<> 139:856d2700e60b 1715 reg_value = SCB->AIRCR; /* read old register configuration */
<> 139:856d2700e60b 1716 reg_value &= ~((uint32_t)(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk)); /* clear bits to change */
<> 139:856d2700e60b 1717 reg_value = (reg_value |
<> 139:856d2700e60b 1718 ((uint32_t)0x5FAUL << SCB_AIRCR_VECTKEY_Pos) |
<> 139:856d2700e60b 1719 (PriorityGroupTmp << 8) ); /* Insert write key and priorty group */
<> 139:856d2700e60b 1720 SCB->AIRCR = reg_value;
<> 139:856d2700e60b 1721 }
<> 139:856d2700e60b 1722
<> 139:856d2700e60b 1723
<> 139:856d2700e60b 1724 /** \brief Get Priority Grouping
<> 139:856d2700e60b 1725
<> 139:856d2700e60b 1726 The function reads the priority grouping field from the NVIC Interrupt Controller.
<> 139:856d2700e60b 1727
<> 139:856d2700e60b 1728 \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field).
<> 139:856d2700e60b 1729 */
<> 139:856d2700e60b 1730 __STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void)
<> 139:856d2700e60b 1731 {
<> 139:856d2700e60b 1732 return ((uint32_t)((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos));
<> 139:856d2700e60b 1733 }
<> 139:856d2700e60b 1734
<> 139:856d2700e60b 1735
<> 139:856d2700e60b 1736 /** \brief Enable External Interrupt
<> 139:856d2700e60b 1737
<> 139:856d2700e60b 1738 The function enables a device-specific interrupt in the NVIC interrupt controller.
<> 139:856d2700e60b 1739
<> 139:856d2700e60b 1740 \param [in] IRQn External interrupt number. Value cannot be negative.
<> 139:856d2700e60b 1741 */
<> 139:856d2700e60b 1742 __STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn)
<> 139:856d2700e60b 1743 {
<> 139:856d2700e60b 1744 NVIC->ISER[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL));
<> 139:856d2700e60b 1745 }
<> 139:856d2700e60b 1746
<> 139:856d2700e60b 1747
<> 139:856d2700e60b 1748 /** \brief Disable External Interrupt
<> 139:856d2700e60b 1749
<> 139:856d2700e60b 1750 The function disables a device-specific interrupt in the NVIC interrupt controller.
<> 139:856d2700e60b 1751
<> 139:856d2700e60b 1752 \param [in] IRQn External interrupt number. Value cannot be negative.
<> 139:856d2700e60b 1753 */
<> 139:856d2700e60b 1754 __STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn)
<> 139:856d2700e60b 1755 {
<> 139:856d2700e60b 1756 NVIC->ICER[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL));
<> 139:856d2700e60b 1757 __DSB();
<> 139:856d2700e60b 1758 __ISB();
<> 139:856d2700e60b 1759 }
<> 139:856d2700e60b 1760
<> 139:856d2700e60b 1761
<> 139:856d2700e60b 1762 /** \brief Get Pending Interrupt
<> 139:856d2700e60b 1763
<> 139:856d2700e60b 1764 The function reads the pending register in the NVIC and returns the pending bit
<> 139:856d2700e60b 1765 for the specified interrupt.
<> 139:856d2700e60b 1766
<> 139:856d2700e60b 1767 \param [in] IRQn Interrupt number.
<> 139:856d2700e60b 1768
<> 139:856d2700e60b 1769 \return 0 Interrupt status is not pending.
<> 139:856d2700e60b 1770 \return 1 Interrupt status is pending.
<> 139:856d2700e60b 1771 */
<> 139:856d2700e60b 1772 __STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn)
<> 139:856d2700e60b 1773 {
<> 139:856d2700e60b 1774 return((uint32_t)(((NVIC->ISPR[(((uint32_t)(int32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
<> 139:856d2700e60b 1775 }
<> 139:856d2700e60b 1776
<> 139:856d2700e60b 1777
<> 139:856d2700e60b 1778 /** \brief Set Pending Interrupt
<> 139:856d2700e60b 1779
<> 139:856d2700e60b 1780 The function sets the pending bit of an external interrupt.
<> 139:856d2700e60b 1781
<> 139:856d2700e60b 1782 \param [in] IRQn Interrupt number. Value cannot be negative.
<> 139:856d2700e60b 1783 */
<> 139:856d2700e60b 1784 __STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn)
<> 139:856d2700e60b 1785 {
<> 139:856d2700e60b 1786 NVIC->ISPR[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL));
<> 139:856d2700e60b 1787 }
<> 139:856d2700e60b 1788
<> 139:856d2700e60b 1789
<> 139:856d2700e60b 1790 /** \brief Clear Pending Interrupt
<> 139:856d2700e60b 1791
<> 139:856d2700e60b 1792 The function clears the pending bit of an external interrupt.
<> 139:856d2700e60b 1793
<> 139:856d2700e60b 1794 \param [in] IRQn External interrupt number. Value cannot be negative.
<> 139:856d2700e60b 1795 */
<> 139:856d2700e60b 1796 __STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn)
<> 139:856d2700e60b 1797 {
<> 139:856d2700e60b 1798 NVIC->ICPR[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL));
<> 139:856d2700e60b 1799 }
<> 139:856d2700e60b 1800
<> 139:856d2700e60b 1801
<> 139:856d2700e60b 1802 /** \brief Get Active Interrupt
<> 139:856d2700e60b 1803
<> 139:856d2700e60b 1804 The function reads the active register in NVIC and returns the active bit.
<> 139:856d2700e60b 1805
<> 139:856d2700e60b 1806 \param [in] IRQn Interrupt number.
<> 139:856d2700e60b 1807
<> 139:856d2700e60b 1808 \return 0 Interrupt status is not active.
<> 139:856d2700e60b 1809 \return 1 Interrupt status is active.
<> 139:856d2700e60b 1810 */
<> 139:856d2700e60b 1811 __STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn)
<> 139:856d2700e60b 1812 {
<> 139:856d2700e60b 1813 return((uint32_t)(((NVIC->IABR[(((uint32_t)(int32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
<> 139:856d2700e60b 1814 }
<> 139:856d2700e60b 1815
<> 139:856d2700e60b 1816
<> 139:856d2700e60b 1817 /** \brief Set Interrupt Priority
<> 139:856d2700e60b 1818
<> 139:856d2700e60b 1819 The function sets the priority of an interrupt.
<> 139:856d2700e60b 1820
<> 139:856d2700e60b 1821 \note The priority cannot be set for every core interrupt.
<> 139:856d2700e60b 1822
<> 139:856d2700e60b 1823 \param [in] IRQn Interrupt number.
<> 139:856d2700e60b 1824 \param [in] priority Priority to set.
<> 139:856d2700e60b 1825 */
<> 139:856d2700e60b 1826 __STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority)
<> 139:856d2700e60b 1827 {
<> 139:856d2700e60b 1828 if((int32_t)IRQn < 0) {
<> 139:856d2700e60b 1829 SCB->SHPR[(((uint32_t)(int32_t)IRQn) & 0xFUL)-4UL] = (uint8_t)((priority << (8 - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL);
<> 139:856d2700e60b 1830 }
<> 139:856d2700e60b 1831 else {
<> 139:856d2700e60b 1832 NVIC->IP[((uint32_t)(int32_t)IRQn)] = (uint8_t)((priority << (8 - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL);
<> 139:856d2700e60b 1833 }
<> 139:856d2700e60b 1834 }
<> 139:856d2700e60b 1835
<> 139:856d2700e60b 1836
<> 139:856d2700e60b 1837 /** \brief Get Interrupt Priority
<> 139:856d2700e60b 1838
<> 139:856d2700e60b 1839 The function reads the priority of an interrupt. The interrupt
<> 139:856d2700e60b 1840 number can be positive to specify an external (device specific)
<> 139:856d2700e60b 1841 interrupt, or negative to specify an internal (core) interrupt.
<> 139:856d2700e60b 1842
<> 139:856d2700e60b 1843
<> 139:856d2700e60b 1844 \param [in] IRQn Interrupt number.
<> 139:856d2700e60b 1845 \return Interrupt Priority. Value is aligned automatically to the implemented
<> 139:856d2700e60b 1846 priority bits of the microcontroller.
<> 139:856d2700e60b 1847 */
<> 139:856d2700e60b 1848 __STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn)
<> 139:856d2700e60b 1849 {
<> 139:856d2700e60b 1850
<> 139:856d2700e60b 1851 if((int32_t)IRQn < 0) {
<> 139:856d2700e60b 1852 return(((uint32_t)SCB->SHPR[(((uint32_t)(int32_t)IRQn) & 0xFUL)-4UL] >> (8 - __NVIC_PRIO_BITS)));
<> 139:856d2700e60b 1853 }
<> 139:856d2700e60b 1854 else {
<> 139:856d2700e60b 1855 return(((uint32_t)NVIC->IP[((uint32_t)(int32_t)IRQn)] >> (8 - __NVIC_PRIO_BITS)));
<> 139:856d2700e60b 1856 }
<> 139:856d2700e60b 1857 }
<> 139:856d2700e60b 1858
<> 139:856d2700e60b 1859
<> 139:856d2700e60b 1860 /** \brief Encode Priority
<> 139:856d2700e60b 1861
<> 139:856d2700e60b 1862 The function encodes the priority for an interrupt with the given priority group,
<> 139:856d2700e60b 1863 preemptive priority value, and subpriority value.
<> 139:856d2700e60b 1864 In case of a conflict between priority grouping and available
<> 139:856d2700e60b 1865 priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set.
<> 139:856d2700e60b 1866
<> 139:856d2700e60b 1867 \param [in] PriorityGroup Used priority group.
<> 139:856d2700e60b 1868 \param [in] PreemptPriority Preemptive priority value (starting from 0).
<> 139:856d2700e60b 1869 \param [in] SubPriority Subpriority value (starting from 0).
<> 139:856d2700e60b 1870 \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority().
<> 139:856d2700e60b 1871 */
<> 139:856d2700e60b 1872 __STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority)
<> 139:856d2700e60b 1873 {
<> 139:856d2700e60b 1874 uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */
<> 139:856d2700e60b 1875 uint32_t PreemptPriorityBits;
<> 139:856d2700e60b 1876 uint32_t SubPriorityBits;
<> 139:856d2700e60b 1877
<> 139:856d2700e60b 1878 PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp);
<> 139:856d2700e60b 1879 SubPriorityBits = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS));
<> 139:856d2700e60b 1880
<> 139:856d2700e60b 1881 return (
<> 139:856d2700e60b 1882 ((PreemptPriority & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL)) << SubPriorityBits) |
<> 139:856d2700e60b 1883 ((SubPriority & (uint32_t)((1UL << (SubPriorityBits )) - 1UL)))
<> 139:856d2700e60b 1884 );
<> 139:856d2700e60b 1885 }
<> 139:856d2700e60b 1886
<> 139:856d2700e60b 1887
<> 139:856d2700e60b 1888 /** \brief Decode Priority
<> 139:856d2700e60b 1889
<> 139:856d2700e60b 1890 The function decodes an interrupt priority value with a given priority group to
<> 139:856d2700e60b 1891 preemptive priority value and subpriority value.
<> 139:856d2700e60b 1892 In case of a conflict between priority grouping and available
<> 139:856d2700e60b 1893 priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set.
<> 139:856d2700e60b 1894
<> 139:856d2700e60b 1895 \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority().
<> 139:856d2700e60b 1896 \param [in] PriorityGroup Used priority group.
<> 139:856d2700e60b 1897 \param [out] pPreemptPriority Preemptive priority value (starting from 0).
<> 139:856d2700e60b 1898 \param [out] pSubPriority Subpriority value (starting from 0).
<> 139:856d2700e60b 1899 */
<> 139:856d2700e60b 1900 __STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority)
<> 139:856d2700e60b 1901 {
<> 139:856d2700e60b 1902 uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */
<> 139:856d2700e60b 1903 uint32_t PreemptPriorityBits;
<> 139:856d2700e60b 1904 uint32_t SubPriorityBits;
<> 139:856d2700e60b 1905
<> 139:856d2700e60b 1906 PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp);
<> 139:856d2700e60b 1907 SubPriorityBits = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS));
<> 139:856d2700e60b 1908
<> 139:856d2700e60b 1909 *pPreemptPriority = (Priority >> SubPriorityBits) & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL);
<> 139:856d2700e60b 1910 *pSubPriority = (Priority ) & (uint32_t)((1UL << (SubPriorityBits )) - 1UL);
<> 139:856d2700e60b 1911 }
<> 139:856d2700e60b 1912
<> 139:856d2700e60b 1913
<> 139:856d2700e60b 1914 /** \brief System Reset
<> 139:856d2700e60b 1915
<> 139:856d2700e60b 1916 The function initiates a system reset request to reset the MCU.
<> 139:856d2700e60b 1917 */
<> 139:856d2700e60b 1918 __STATIC_INLINE void NVIC_SystemReset(void)
<> 139:856d2700e60b 1919 {
<> 139:856d2700e60b 1920 __DSB(); /* Ensure all outstanding memory accesses included
<> 139:856d2700e60b 1921 buffered write are completed before reset */
<> 139:856d2700e60b 1922 SCB->AIRCR = (uint32_t)((0x5FAUL << SCB_AIRCR_VECTKEY_Pos) |
<> 139:856d2700e60b 1923 (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) |
<> 139:856d2700e60b 1924 SCB_AIRCR_SYSRESETREQ_Msk ); /* Keep priority group unchanged */
<> 139:856d2700e60b 1925 __DSB(); /* Ensure completion of memory access */
<> 139:856d2700e60b 1926 while(1) { __NOP(); } /* wait until reset */
<> 139:856d2700e60b 1927 }
<> 139:856d2700e60b 1928
<> 139:856d2700e60b 1929 /*@} end of CMSIS_Core_NVICFunctions */
<> 139:856d2700e60b 1930
<> 139:856d2700e60b 1931
<> 139:856d2700e60b 1932 /* ########################## FPU functions #################################### */
<> 139:856d2700e60b 1933 /** \ingroup CMSIS_Core_FunctionInterface
<> 139:856d2700e60b 1934 \defgroup CMSIS_Core_FpuFunctions FPU Functions
<> 139:856d2700e60b 1935 \brief Function that provides FPU type.
<> 139:856d2700e60b 1936 @{
<> 139:856d2700e60b 1937 */
<> 139:856d2700e60b 1938
<> 139:856d2700e60b 1939 /**
<> 139:856d2700e60b 1940 \fn uint32_t SCB_GetFPUType(void)
<> 139:856d2700e60b 1941 \brief get FPU type
<> 139:856d2700e60b 1942 \returns
<> 139:856d2700e60b 1943 - \b 0: No FPU
<> 139:856d2700e60b 1944 - \b 1: Single precision FPU
<> 139:856d2700e60b 1945 - \b 2: Double + Single precision FPU
<> 139:856d2700e60b 1946 */
<> 139:856d2700e60b 1947 __STATIC_INLINE uint32_t SCB_GetFPUType(void)
<> 139:856d2700e60b 1948 {
<> 139:856d2700e60b 1949 uint32_t mvfr0;
<> 139:856d2700e60b 1950
<> 139:856d2700e60b 1951 mvfr0 = SCB->MVFR0;
<> 139:856d2700e60b 1952 if ((mvfr0 & 0x00000FF0UL) == 0x220UL) {
<> 139:856d2700e60b 1953 return 2UL; // Double + Single precision FPU
<> 139:856d2700e60b 1954 } else if ((mvfr0 & 0x00000FF0UL) == 0x020UL) {
<> 139:856d2700e60b 1955 return 1UL; // Single precision FPU
<> 139:856d2700e60b 1956 } else {
<> 139:856d2700e60b 1957 return 0UL; // No FPU
<> 139:856d2700e60b 1958 }
<> 139:856d2700e60b 1959 }
<> 139:856d2700e60b 1960
<> 139:856d2700e60b 1961
<> 139:856d2700e60b 1962 /*@} end of CMSIS_Core_FpuFunctions */
<> 139:856d2700e60b 1963
<> 139:856d2700e60b 1964
<> 139:856d2700e60b 1965
<> 139:856d2700e60b 1966 /* ########################## Cache functions #################################### */
<> 139:856d2700e60b 1967 /** \ingroup CMSIS_Core_FunctionInterface
<> 139:856d2700e60b 1968 \defgroup CMSIS_Core_CacheFunctions Cache Functions
<> 139:856d2700e60b 1969 \brief Functions that configure Instruction and Data cache.
<> 139:856d2700e60b 1970 @{
<> 139:856d2700e60b 1971 */
<> 139:856d2700e60b 1972
<> 139:856d2700e60b 1973 /* Cache Size ID Register Macros */
<> 139:856d2700e60b 1974 #define CCSIDR_WAYS(x) (((x) & SCB_CCSIDR_ASSOCIATIVITY_Msk) >> SCB_CCSIDR_ASSOCIATIVITY_Pos)
<> 139:856d2700e60b 1975 #define CCSIDR_SETS(x) (((x) & SCB_CCSIDR_NUMSETS_Msk ) >> SCB_CCSIDR_NUMSETS_Pos )
<> 139:856d2700e60b 1976 #define CCSIDR_LSSHIFT(x) (((x) & SCB_CCSIDR_LINESIZE_Msk ) /*>> SCB_CCSIDR_LINESIZE_Pos*/ )
<> 139:856d2700e60b 1977
<> 139:856d2700e60b 1978
<> 139:856d2700e60b 1979 /** \brief Enable I-Cache
<> 139:856d2700e60b 1980
<> 139:856d2700e60b 1981 The function turns on I-Cache
<> 139:856d2700e60b 1982 */
<> 139:856d2700e60b 1983 __STATIC_INLINE void SCB_EnableICache (void)
<> 139:856d2700e60b 1984 {
<> 139:856d2700e60b 1985 #if (__ICACHE_PRESENT == 1)
<> 139:856d2700e60b 1986 __DSB();
<> 139:856d2700e60b 1987 __ISB();
<> 139:856d2700e60b 1988 SCB->ICIALLU = 0UL; // invalidate I-Cache
<> 139:856d2700e60b 1989 SCB->CCR |= (uint32_t)SCB_CCR_IC_Msk; // enable I-Cache
<> 139:856d2700e60b 1990 __DSB();
<> 139:856d2700e60b 1991 __ISB();
<> 139:856d2700e60b 1992 #endif
<> 139:856d2700e60b 1993 }
<> 139:856d2700e60b 1994
<> 139:856d2700e60b 1995
<> 139:856d2700e60b 1996 /** \brief Disable I-Cache
<> 139:856d2700e60b 1997
<> 139:856d2700e60b 1998 The function turns off I-Cache
<> 139:856d2700e60b 1999 */
<> 139:856d2700e60b 2000 __STATIC_INLINE void SCB_DisableICache (void)
<> 139:856d2700e60b 2001 {
<> 139:856d2700e60b 2002 #if (__ICACHE_PRESENT == 1)
<> 139:856d2700e60b 2003 __DSB();
<> 139:856d2700e60b 2004 __ISB();
<> 139:856d2700e60b 2005 SCB->CCR &= ~(uint32_t)SCB_CCR_IC_Msk; // disable I-Cache
<> 139:856d2700e60b 2006 SCB->ICIALLU = 0UL; // invalidate I-Cache
<> 139:856d2700e60b 2007 __DSB();
<> 139:856d2700e60b 2008 __ISB();
<> 139:856d2700e60b 2009 #endif
<> 139:856d2700e60b 2010 }
<> 139:856d2700e60b 2011
<> 139:856d2700e60b 2012
<> 139:856d2700e60b 2013 /** \brief Invalidate I-Cache
<> 139:856d2700e60b 2014
<> 139:856d2700e60b 2015 The function invalidates I-Cache
<> 139:856d2700e60b 2016 */
<> 139:856d2700e60b 2017 __STATIC_INLINE void SCB_InvalidateICache (void)
<> 139:856d2700e60b 2018 {
<> 139:856d2700e60b 2019 #if (__ICACHE_PRESENT == 1)
<> 139:856d2700e60b 2020 __DSB();
<> 139:856d2700e60b 2021 __ISB();
<> 139:856d2700e60b 2022 SCB->ICIALLU = 0UL;
<> 139:856d2700e60b 2023 __DSB();
<> 139:856d2700e60b 2024 __ISB();
<> 139:856d2700e60b 2025 #endif
<> 139:856d2700e60b 2026 }
<> 139:856d2700e60b 2027
<> 139:856d2700e60b 2028
<> 139:856d2700e60b 2029 /** \brief Enable D-Cache
<> 139:856d2700e60b 2030
<> 139:856d2700e60b 2031 The function turns on D-Cache
<> 139:856d2700e60b 2032 */
<> 139:856d2700e60b 2033 __STATIC_INLINE void SCB_EnableDCache (void)
<> 139:856d2700e60b 2034 {
<> 139:856d2700e60b 2035 #if (__DCACHE_PRESENT == 1)
<> 139:856d2700e60b 2036 uint32_t ccsidr, sshift, wshift, sw;
<> 139:856d2700e60b 2037 uint32_t sets, ways;
<> 139:856d2700e60b 2038
<> 139:856d2700e60b 2039 SCB->CSSELR = (0UL << 1) | 0UL; // Level 1 data cache
<> 139:856d2700e60b 2040 ccsidr = SCB->CCSIDR;
<> 139:856d2700e60b 2041 sets = (uint32_t)(CCSIDR_SETS(ccsidr));
<> 139:856d2700e60b 2042 sshift = (uint32_t)(CCSIDR_LSSHIFT(ccsidr) + 4UL);
<> 139:856d2700e60b 2043 ways = (uint32_t)(CCSIDR_WAYS(ccsidr));
<> 139:856d2700e60b 2044 wshift = (uint32_t)((uint32_t)__CLZ(ways) & 0x1FUL);
<> 139:856d2700e60b 2045
<> 139:856d2700e60b 2046 __DSB();
<> 139:856d2700e60b 2047
<> 139:856d2700e60b 2048 do { // invalidate D-Cache
<> 139:856d2700e60b 2049 uint32_t tmpways = ways;
<> 139:856d2700e60b 2050 do {
<> 139:856d2700e60b 2051 sw = ((tmpways << wshift) | (sets << sshift));
<> 139:856d2700e60b 2052 SCB->DCISW = sw;
<> 139:856d2700e60b 2053 } while(tmpways--);
<> 139:856d2700e60b 2054 } while(sets--);
<> 139:856d2700e60b 2055 __DSB();
<> 139:856d2700e60b 2056
<> 139:856d2700e60b 2057 SCB->CCR |= (uint32_t)SCB_CCR_DC_Msk; // enable D-Cache
<> 139:856d2700e60b 2058
<> 139:856d2700e60b 2059 __DSB();
<> 139:856d2700e60b 2060 __ISB();
<> 139:856d2700e60b 2061 #endif
<> 139:856d2700e60b 2062 }
<> 139:856d2700e60b 2063
<> 139:856d2700e60b 2064
<> 139:856d2700e60b 2065 /** \brief Disable D-Cache
<> 139:856d2700e60b 2066
<> 139:856d2700e60b 2067 The function turns off D-Cache
<> 139:856d2700e60b 2068 */
<> 139:856d2700e60b 2069 __STATIC_INLINE void SCB_DisableDCache (void)
<> 139:856d2700e60b 2070 {
<> 139:856d2700e60b 2071 #if (__DCACHE_PRESENT == 1)
<> 139:856d2700e60b 2072 uint32_t ccsidr, sshift, wshift, sw;
<> 139:856d2700e60b 2073 uint32_t sets, ways;
<> 139:856d2700e60b 2074
<> 139:856d2700e60b 2075 SCB->CSSELR = (0UL << 1) | 0UL; // Level 1 data cache
<> 139:856d2700e60b 2076 ccsidr = SCB->CCSIDR;
<> 139:856d2700e60b 2077 sets = (uint32_t)(CCSIDR_SETS(ccsidr));
<> 139:856d2700e60b 2078 sshift = (uint32_t)(CCSIDR_LSSHIFT(ccsidr) + 4UL);
<> 139:856d2700e60b 2079 ways = (uint32_t)(CCSIDR_WAYS(ccsidr));
<> 139:856d2700e60b 2080 wshift = (uint32_t)((uint32_t)__CLZ(ways) & 0x1FUL);
<> 139:856d2700e60b 2081
<> 139:856d2700e60b 2082 __DSB();
<> 139:856d2700e60b 2083
<> 139:856d2700e60b 2084 SCB->CCR &= ~(uint32_t)SCB_CCR_DC_Msk; // disable D-Cache
<> 139:856d2700e60b 2085
<> 139:856d2700e60b 2086 do { // clean & invalidate D-Cache
<> 139:856d2700e60b 2087 uint32_t tmpways = ways;
<> 139:856d2700e60b 2088 do {
<> 139:856d2700e60b 2089 sw = ((tmpways << wshift) | (sets << sshift));
<> 139:856d2700e60b 2090 SCB->DCCISW = sw;
<> 139:856d2700e60b 2091 } while(tmpways--);
<> 139:856d2700e60b 2092 } while(sets--);
<> 139:856d2700e60b 2093
<> 139:856d2700e60b 2094
<> 139:856d2700e60b 2095 __DSB();
<> 139:856d2700e60b 2096 __ISB();
<> 139:856d2700e60b 2097 #endif
<> 139:856d2700e60b 2098 }
<> 139:856d2700e60b 2099
<> 139:856d2700e60b 2100
<> 139:856d2700e60b 2101 /** \brief Invalidate D-Cache
<> 139:856d2700e60b 2102
<> 139:856d2700e60b 2103 The function invalidates D-Cache
<> 139:856d2700e60b 2104 */
<> 139:856d2700e60b 2105 __STATIC_INLINE void SCB_InvalidateDCache (void)
<> 139:856d2700e60b 2106 {
<> 139:856d2700e60b 2107 #if (__DCACHE_PRESENT == 1)
<> 139:856d2700e60b 2108 uint32_t ccsidr, sshift, wshift, sw;
<> 139:856d2700e60b 2109 uint32_t sets, ways;
<> 139:856d2700e60b 2110
<> 139:856d2700e60b 2111 SCB->CSSELR = (0UL << 1) | 0UL; // Level 1 data cache
<> 139:856d2700e60b 2112 ccsidr = SCB->CCSIDR;
<> 139:856d2700e60b 2113 sets = (uint32_t)(CCSIDR_SETS(ccsidr));
<> 139:856d2700e60b 2114 sshift = (uint32_t)(CCSIDR_LSSHIFT(ccsidr) + 4UL);
<> 139:856d2700e60b 2115 ways = (uint32_t)(CCSIDR_WAYS(ccsidr));
<> 139:856d2700e60b 2116 wshift = (uint32_t)((uint32_t)__CLZ(ways) & 0x1FUL);
<> 139:856d2700e60b 2117
<> 139:856d2700e60b 2118 __DSB();
<> 139:856d2700e60b 2119
<> 139:856d2700e60b 2120 do { // invalidate D-Cache
<> 139:856d2700e60b 2121 uint32_t tmpways = ways;
<> 139:856d2700e60b 2122 do {
<> 139:856d2700e60b 2123 sw = ((tmpways << wshift) | (sets << sshift));
<> 139:856d2700e60b 2124 SCB->DCISW = sw;
<> 139:856d2700e60b 2125 } while(tmpways--);
<> 139:856d2700e60b 2126 } while(sets--);
<> 139:856d2700e60b 2127
<> 139:856d2700e60b 2128 __DSB();
<> 139:856d2700e60b 2129 __ISB();
<> 139:856d2700e60b 2130 #endif
<> 139:856d2700e60b 2131 }
<> 139:856d2700e60b 2132
<> 139:856d2700e60b 2133
<> 139:856d2700e60b 2134 /** \brief Clean D-Cache
<> 139:856d2700e60b 2135
<> 139:856d2700e60b 2136 The function cleans D-Cache
<> 139:856d2700e60b 2137 */
<> 139:856d2700e60b 2138 __STATIC_INLINE void SCB_CleanDCache (void)
<> 139:856d2700e60b 2139 {
<> 139:856d2700e60b 2140 #if (__DCACHE_PRESENT == 1)
<> 139:856d2700e60b 2141 uint32_t ccsidr, sshift, wshift, sw;
<> 139:856d2700e60b 2142 uint32_t sets, ways;
<> 139:856d2700e60b 2143
<> 139:856d2700e60b 2144 SCB->CSSELR = (0UL << 1) | 0UL; // Level 1 data cache
<> 139:856d2700e60b 2145 ccsidr = SCB->CCSIDR;
<> 139:856d2700e60b 2146 sets = (uint32_t)(CCSIDR_SETS(ccsidr));
<> 139:856d2700e60b 2147 sshift = (uint32_t)(CCSIDR_LSSHIFT(ccsidr) + 4UL);
<> 139:856d2700e60b 2148 ways = (uint32_t)(CCSIDR_WAYS(ccsidr));
<> 139:856d2700e60b 2149 wshift = (uint32_t)((uint32_t)__CLZ(ways) & 0x1FUL);
<> 139:856d2700e60b 2150
<> 139:856d2700e60b 2151 __DSB();
<> 139:856d2700e60b 2152
<> 139:856d2700e60b 2153 do { // clean D-Cache
<> 139:856d2700e60b 2154 uint32_t tmpways = ways;
<> 139:856d2700e60b 2155 do {
<> 139:856d2700e60b 2156 sw = ((tmpways << wshift) | (sets << sshift));
<> 139:856d2700e60b 2157 SCB->DCCSW = sw;
<> 139:856d2700e60b 2158 } while(tmpways--);
<> 139:856d2700e60b 2159 } while(sets--);
<> 139:856d2700e60b 2160
<> 139:856d2700e60b 2161 __DSB();
<> 139:856d2700e60b 2162 __ISB();
<> 139:856d2700e60b 2163 #endif
<> 139:856d2700e60b 2164 }
<> 139:856d2700e60b 2165
<> 139:856d2700e60b 2166
<> 139:856d2700e60b 2167 /** \brief Clean & Invalidate D-Cache
<> 139:856d2700e60b 2168
<> 139:856d2700e60b 2169 The function cleans and Invalidates D-Cache
<> 139:856d2700e60b 2170 */
<> 139:856d2700e60b 2171 __STATIC_INLINE void SCB_CleanInvalidateDCache (void)
<> 139:856d2700e60b 2172 {
<> 139:856d2700e60b 2173 #if (__DCACHE_PRESENT == 1)
<> 139:856d2700e60b 2174 uint32_t ccsidr, sshift, wshift, sw;
<> 139:856d2700e60b 2175 uint32_t sets, ways;
<> 139:856d2700e60b 2176
<> 139:856d2700e60b 2177 SCB->CSSELR = (0UL << 1) | 0UL; // Level 1 data cache
<> 139:856d2700e60b 2178 ccsidr = SCB->CCSIDR;
<> 139:856d2700e60b 2179 sets = (uint32_t)(CCSIDR_SETS(ccsidr));
<> 139:856d2700e60b 2180 sshift = (uint32_t)(CCSIDR_LSSHIFT(ccsidr) + 4UL);
<> 139:856d2700e60b 2181 ways = (uint32_t)(CCSIDR_WAYS(ccsidr));
<> 139:856d2700e60b 2182 wshift = (uint32_t)((uint32_t)__CLZ(ways) & 0x1FUL);
<> 139:856d2700e60b 2183
<> 139:856d2700e60b 2184 __DSB();
<> 139:856d2700e60b 2185
<> 139:856d2700e60b 2186 do { // clean & invalidate D-Cache
<> 139:856d2700e60b 2187 uint32_t tmpways = ways;
<> 139:856d2700e60b 2188 do {
<> 139:856d2700e60b 2189 sw = ((tmpways << wshift) | (sets << sshift));
<> 139:856d2700e60b 2190 SCB->DCCISW = sw;
<> 139:856d2700e60b 2191 } while(tmpways--);
<> 139:856d2700e60b 2192 } while(sets--);
<> 139:856d2700e60b 2193
<> 139:856d2700e60b 2194 __DSB();
<> 139:856d2700e60b 2195 __ISB();
<> 139:856d2700e60b 2196 #endif
<> 139:856d2700e60b 2197 }
<> 139:856d2700e60b 2198
<> 139:856d2700e60b 2199
<> 139:856d2700e60b 2200 /**
<> 139:856d2700e60b 2201 \fn void SCB_InvalidateDCache_by_Addr(volatile uint32_t *addr, int32_t dsize)
<> 139:856d2700e60b 2202 \brief D-Cache Invalidate by address
<> 139:856d2700e60b 2203 \param[in] addr address (aligned to 32-byte boundary)
<> 139:856d2700e60b 2204 \param[in] dsize size of memory block (in number of bytes)
<> 139:856d2700e60b 2205 */
<> 139:856d2700e60b 2206 __STATIC_INLINE void SCB_InvalidateDCache_by_Addr (uint32_t *addr, int32_t dsize)
<> 139:856d2700e60b 2207 {
<> 139:856d2700e60b 2208 #if (__DCACHE_PRESENT == 1)
<> 139:856d2700e60b 2209 int32_t op_size = dsize;
<> 139:856d2700e60b 2210 uint32_t op_addr = (uint32_t)addr;
<> 139:856d2700e60b 2211 uint32_t linesize = 32UL; // in Cortex-M7 size of cache line is fixed to 8 words (32 bytes)
<> 139:856d2700e60b 2212
<> 139:856d2700e60b 2213 __DSB();
<> 139:856d2700e60b 2214
<> 139:856d2700e60b 2215 while (op_size > 0) {
<> 139:856d2700e60b 2216 SCB->DCIMVAC = op_addr;
<> 139:856d2700e60b 2217 op_addr += linesize;
<> 139:856d2700e60b 2218 op_size -= (int32_t)linesize;
<> 139:856d2700e60b 2219 }
<> 139:856d2700e60b 2220
<> 139:856d2700e60b 2221 __DSB();
<> 139:856d2700e60b 2222 __ISB();
<> 139:856d2700e60b 2223 #endif
<> 139:856d2700e60b 2224 }
<> 139:856d2700e60b 2225
<> 139:856d2700e60b 2226
<> 139:856d2700e60b 2227 /**
<> 139:856d2700e60b 2228 \fn void SCB_CleanDCache_by_Addr(volatile uint32_t *addr, int32_t dsize)
<> 139:856d2700e60b 2229 \brief D-Cache Clean by address
<> 139:856d2700e60b 2230 \param[in] addr address (aligned to 32-byte boundary)
<> 139:856d2700e60b 2231 \param[in] dsize size of memory block (in number of bytes)
<> 139:856d2700e60b 2232 */
<> 139:856d2700e60b 2233 __STATIC_INLINE void SCB_CleanDCache_by_Addr (uint32_t *addr, int32_t dsize)
<> 139:856d2700e60b 2234 {
<> 139:856d2700e60b 2235 #if (__DCACHE_PRESENT == 1)
<> 139:856d2700e60b 2236 int32_t op_size = dsize;
<> 139:856d2700e60b 2237 uint32_t op_addr = (uint32_t) addr;
<> 139:856d2700e60b 2238 uint32_t linesize = 32UL; // in Cortex-M7 size of cache line is fixed to 8 words (32 bytes)
<> 139:856d2700e60b 2239
<> 139:856d2700e60b 2240 __DSB();
<> 139:856d2700e60b 2241
<> 139:856d2700e60b 2242 while (op_size > 0) {
<> 139:856d2700e60b 2243 SCB->DCCMVAC = op_addr;
<> 139:856d2700e60b 2244 op_addr += linesize;
<> 139:856d2700e60b 2245 op_size -= (int32_t)linesize;
<> 139:856d2700e60b 2246 }
<> 139:856d2700e60b 2247
<> 139:856d2700e60b 2248 __DSB();
<> 139:856d2700e60b 2249 __ISB();
<> 139:856d2700e60b 2250 #endif
<> 139:856d2700e60b 2251 }
<> 139:856d2700e60b 2252
<> 139:856d2700e60b 2253
<> 139:856d2700e60b 2254 /**
<> 139:856d2700e60b 2255 \fn void SCB_CleanInvalidateDCache_by_Addr(volatile uint32_t *addr, int32_t dsize)
<> 139:856d2700e60b 2256 \brief D-Cache Clean and Invalidate by address
<> 139:856d2700e60b 2257 \param[in] addr address (aligned to 32-byte boundary)
<> 139:856d2700e60b 2258 \param[in] dsize size of memory block (in number of bytes)
<> 139:856d2700e60b 2259 */
<> 139:856d2700e60b 2260 __STATIC_INLINE void SCB_CleanInvalidateDCache_by_Addr (uint32_t *addr, int32_t dsize)
<> 139:856d2700e60b 2261 {
<> 139:856d2700e60b 2262 #if (__DCACHE_PRESENT == 1)
<> 139:856d2700e60b 2263 int32_t op_size = dsize;
<> 139:856d2700e60b 2264 uint32_t op_addr = (uint32_t) addr;
<> 139:856d2700e60b 2265 uint32_t linesize = 32UL; // in Cortex-M7 size of cache line is fixed to 8 words (32 bytes)
<> 139:856d2700e60b 2266
<> 139:856d2700e60b 2267 __DSB();
<> 139:856d2700e60b 2268
<> 139:856d2700e60b 2269 while (op_size > 0) {
<> 139:856d2700e60b 2270 SCB->DCCIMVAC = op_addr;
<> 139:856d2700e60b 2271 op_addr += linesize;
<> 139:856d2700e60b 2272 op_size -= (int32_t)linesize;
<> 139:856d2700e60b 2273 }
<> 139:856d2700e60b 2274
<> 139:856d2700e60b 2275 __DSB();
<> 139:856d2700e60b 2276 __ISB();
<> 139:856d2700e60b 2277 #endif
<> 139:856d2700e60b 2278 }
<> 139:856d2700e60b 2279
<> 139:856d2700e60b 2280
<> 139:856d2700e60b 2281 /*@} end of CMSIS_Core_CacheFunctions */
<> 139:856d2700e60b 2282
<> 139:856d2700e60b 2283
<> 139:856d2700e60b 2284
<> 139:856d2700e60b 2285 /* ################################## SysTick function ############################################ */
<> 139:856d2700e60b 2286 /** \ingroup CMSIS_Core_FunctionInterface
<> 139:856d2700e60b 2287 \defgroup CMSIS_Core_SysTickFunctions SysTick Functions
<> 139:856d2700e60b 2288 \brief Functions that configure the System.
<> 139:856d2700e60b 2289 @{
<> 139:856d2700e60b 2290 */
<> 139:856d2700e60b 2291
<> 139:856d2700e60b 2292 #if (__Vendor_SysTickConfig == 0)
<> 139:856d2700e60b 2293
<> 139:856d2700e60b 2294 /** \brief System Tick Configuration
<> 139:856d2700e60b 2295
<> 139:856d2700e60b 2296 The function initializes the System Timer and its interrupt, and starts the System Tick Timer.
<> 139:856d2700e60b 2297 Counter is in free running mode to generate periodic interrupts.
<> 139:856d2700e60b 2298
<> 139:856d2700e60b 2299 \param [in] ticks Number of ticks between two interrupts.
<> 139:856d2700e60b 2300
<> 139:856d2700e60b 2301 \return 0 Function succeeded.
<> 139:856d2700e60b 2302 \return 1 Function failed.
<> 139:856d2700e60b 2303
<> 139:856d2700e60b 2304 \note When the variable <b>__Vendor_SysTickConfig</b> is set to 1, then the
<> 139:856d2700e60b 2305 function <b>SysTick_Config</b> is not included. In this case, the file <b><i>device</i>.h</b>
<> 139:856d2700e60b 2306 must contain a vendor-specific implementation of this function.
<> 139:856d2700e60b 2307
<> 139:856d2700e60b 2308 */
<> 139:856d2700e60b 2309 __STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks)
<> 139:856d2700e60b 2310 {
<> 139:856d2700e60b 2311 if ((ticks - 1UL) > SysTick_LOAD_RELOAD_Msk) { return (1UL); } /* Reload value impossible */
<> 139:856d2700e60b 2312
<> 139:856d2700e60b 2313 SysTick->LOAD = (uint32_t)(ticks - 1UL); /* set reload register */
<> 139:856d2700e60b 2314 NVIC_SetPriority (SysTick_IRQn, (1UL << __NVIC_PRIO_BITS) - 1UL); /* set Priority for Systick Interrupt */
<> 139:856d2700e60b 2315 SysTick->VAL = 0UL; /* Load the SysTick Counter Value */
<> 139:856d2700e60b 2316 SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk |
<> 139:856d2700e60b 2317 SysTick_CTRL_TICKINT_Msk |
<> 139:856d2700e60b 2318 SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */
<> 139:856d2700e60b 2319 return (0UL); /* Function successful */
<> 139:856d2700e60b 2320 }
<> 139:856d2700e60b 2321
<> 139:856d2700e60b 2322 #endif
<> 139:856d2700e60b 2323
<> 139:856d2700e60b 2324 /*@} end of CMSIS_Core_SysTickFunctions */
<> 139:856d2700e60b 2325
<> 139:856d2700e60b 2326
<> 139:856d2700e60b 2327
<> 139:856d2700e60b 2328 /* ##################################### Debug In/Output function ########################################### */
<> 139:856d2700e60b 2329 /** \ingroup CMSIS_Core_FunctionInterface
<> 139:856d2700e60b 2330 \defgroup CMSIS_core_DebugFunctions ITM Functions
<> 139:856d2700e60b 2331 \brief Functions that access the ITM debug interface.
<> 139:856d2700e60b 2332 @{
<> 139:856d2700e60b 2333 */
<> 139:856d2700e60b 2334
<> 139:856d2700e60b 2335 extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */
<> 139:856d2700e60b 2336 #define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */
<> 139:856d2700e60b 2337
<> 139:856d2700e60b 2338
<> 139:856d2700e60b 2339 /** \brief ITM Send Character
<> 139:856d2700e60b 2340
<> 139:856d2700e60b 2341 The function transmits a character via the ITM channel 0, and
<> 139:856d2700e60b 2342 \li Just returns when no debugger is connected that has booked the output.
<> 139:856d2700e60b 2343 \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted.
<> 139:856d2700e60b 2344
<> 139:856d2700e60b 2345 \param [in] ch Character to transmit.
<> 139:856d2700e60b 2346
<> 139:856d2700e60b 2347 \returns Character to transmit.
<> 139:856d2700e60b 2348 */
<> 139:856d2700e60b 2349 __STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch)
<> 139:856d2700e60b 2350 {
<> 139:856d2700e60b 2351 if (((ITM->TCR & ITM_TCR_ITMENA_Msk) != 0UL) && /* ITM enabled */
<> 139:856d2700e60b 2352 ((ITM->TER & 1UL ) != 0UL) ) /* ITM Port #0 enabled */
<> 139:856d2700e60b 2353 {
<> 139:856d2700e60b 2354 while (ITM->PORT[0].u32 == 0UL) { __NOP(); }
<> 139:856d2700e60b 2355 ITM->PORT[0].u8 = (uint8_t)ch;
<> 139:856d2700e60b 2356 }
<> 139:856d2700e60b 2357 return (ch);
<> 139:856d2700e60b 2358 }
<> 139:856d2700e60b 2359
<> 139:856d2700e60b 2360
<> 139:856d2700e60b 2361 /** \brief ITM Receive Character
<> 139:856d2700e60b 2362
<> 139:856d2700e60b 2363 The function inputs a character via the external variable \ref ITM_RxBuffer.
<> 139:856d2700e60b 2364
<> 139:856d2700e60b 2365 \return Received character.
<> 139:856d2700e60b 2366 \return -1 No character pending.
<> 139:856d2700e60b 2367 */
<> 139:856d2700e60b 2368 __STATIC_INLINE int32_t ITM_ReceiveChar (void) {
<> 139:856d2700e60b 2369 int32_t ch = -1; /* no character available */
<> 139:856d2700e60b 2370
<> 139:856d2700e60b 2371 if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) {
<> 139:856d2700e60b 2372 ch = ITM_RxBuffer;
<> 139:856d2700e60b 2373 ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */
<> 139:856d2700e60b 2374 }
<> 139:856d2700e60b 2375
<> 139:856d2700e60b 2376 return (ch);
<> 139:856d2700e60b 2377 }
<> 139:856d2700e60b 2378
<> 139:856d2700e60b 2379
<> 139:856d2700e60b 2380 /** \brief ITM Check Character
<> 139:856d2700e60b 2381
<> 139:856d2700e60b 2382 The function checks whether a character is pending for reading in the variable \ref ITM_RxBuffer.
<> 139:856d2700e60b 2383
<> 139:856d2700e60b 2384 \return 0 No character available.
<> 139:856d2700e60b 2385 \return 1 Character available.
<> 139:856d2700e60b 2386 */
<> 139:856d2700e60b 2387 __STATIC_INLINE int32_t ITM_CheckChar (void) {
<> 139:856d2700e60b 2388
<> 139:856d2700e60b 2389 if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) {
<> 139:856d2700e60b 2390 return (0); /* no character available */
<> 139:856d2700e60b 2391 } else {
<> 139:856d2700e60b 2392 return (1); /* character available */
<> 139:856d2700e60b 2393 }
<> 139:856d2700e60b 2394 }
<> 139:856d2700e60b 2395
<> 139:856d2700e60b 2396 /*@} end of CMSIS_core_DebugFunctions */
<> 139:856d2700e60b 2397
<> 139:856d2700e60b 2398
<> 139:856d2700e60b 2399
<> 139:856d2700e60b 2400
<> 139:856d2700e60b 2401 #ifdef __cplusplus
<> 139:856d2700e60b 2402 }
<> 139:856d2700e60b 2403 #endif
<> 139:856d2700e60b 2404
<> 139:856d2700e60b 2405 #endif /* __CORE_CM7_H_DEPENDANT */
<> 139:856d2700e60b 2406
<> 139:856d2700e60b 2407 #endif /* __CMSIS_GENERIC */