Funcional

Dependencies:   FastAnalogIn HSI2RGBW_PWM NVIC_set_all_priorities mbed-dsp mbed TextLCD

Fork of Seniales-Tacometro by Grissell Esquivel

Committer:
griszAndy
Date:
Fri Apr 29 14:58:33 2016 +0000
Revision:
8:4c2a5a2b2a80
Parent:
7:6b8a1382b2ee
Version Calibrada

Who changed what in which revision?

UserRevisionLine numberNew contents of line
Soto 3:6c9dabbb7261 1 #include "mbed.h" //Librería default de mbed
Soto 3:6c9dabbb7261 2 #include "NVIC_set_all_priorities.h" //Librería para modificar todas las solicitudes de interrupciones al mismo tiempo
frankvnk 0:0c037aff5039 3 #include <ctype.h>
Soto 3:6c9dabbb7261 4 #include "arm_math.h" //Librería que contiene funciones de tranformada de fourier
frankvnk 2:035d551759a5 5 #include "arm_const_structs.h"
Soto 3:6c9dabbb7261 6 #include "FastAnalogIn.h" //Librería modificada basada en la función de AnalogIn que reduce el tiempo de procesamiento de las señales ADC
griszAndy 8:4c2a5a2b2a80 7
griszAndy 8:4c2a5a2b2a80 8 //columnas
griszAndy 8:4c2a5a2b2a80 9 DigitalOut col0(PTA1);
griszAndy 8:4c2a5a2b2a80 10 DigitalOut col1(PTA2);
griszAndy 8:4c2a5a2b2a80 11 DigitalOut col2(PTD4);
griszAndy 8:4c2a5a2b2a80 12 DigitalOut col3(PTA12);
griszAndy 8:4c2a5a2b2a80 13 DigitalOut col4(PTA4);
griszAndy 8:4c2a5a2b2a80 14
griszAndy 8:4c2a5a2b2a80 15 //filas
griszAndy 8:4c2a5a2b2a80 16 DigitalOut floor1(PTA13);
griszAndy 8:4c2a5a2b2a80 17 DigitalOut floor2(PTD5);
griszAndy 8:4c2a5a2b2a80 18 DigitalOut floor3(PTD0);
griszAndy 8:4c2a5a2b2a80 19 DigitalOut floor4(PTD2);
griszAndy 8:4c2a5a2b2a80 20 DigitalOut floor5(PTD3);
griszAndy 8:4c2a5a2b2a80 21
griszAndy 7:6b8a1382b2ee 22
griszAndy 6:c77012d6086b 23 char* x;
griszAndy 8:4c2a5a2b2a80 24 int count = 0;
Soto 3:6c9dabbb7261 25 Serial pc(USBTX, USBRX); //Se declaran los pines que se utilizarán para la comunicación serial mediante USB para debuggeo (PTA1 - RX, PTA2 - TX)
Soto 3:6c9dabbb7261 26 FastAnalogIn segnal(PTC2); //Se declara el pin que recibirá la señal análoga del sensor
griszAndy 7:6b8a1382b2ee 27
griszAndy 6:c77012d6086b 28 extern "C" void NMI_Handler()
griszAndy 6:c77012d6086b 29 {
frankvnk 0:0c037aff5039 30 }
griszAndy 7:6b8a1382b2ee 31
Soto 3:6c9dabbb7261 32 ////////////////////////////////////////////////////////////////////////////////
Soto 3:6c9dabbb7261 33 // CONFIGURACION
Soto 3:6c9dabbb7261 34 //Estos valores puedne modificarse para modificar los parametros de la transformada
Soto 3:6c9dabbb7261 35 ////////////////////////////////////////////////////////////////////////////////
griszAndy 7:6b8a1382b2ee 36
Soto 3:6c9dabbb7261 37 int SAMPLE_RATE_HZ = 40000; // Frecuencian de muestreo en HZ del sistema
Soto 3:6c9dabbb7261 38 const int FFT_SIZE = 1024; // Número de valores para la transformada rápida
Soto 3:6c9dabbb7261 39 float freq = 40000.0/1024.0; // Frecuencia de activación de la interrupción de muestreo
Soto 3:6c9dabbb7261 40 float max[2]; // Arreglo que almacena la frecuencia y magnitud mayores del espectro de Fourier
griszAndy 7:6b8a1382b2ee 41
frankvnk 0:0c037aff5039 42 ////////////////////////////////////////////////////////////////////////////////
Soto 3:6c9dabbb7261 43 // ESTADO INTERNO
Soto 3:6c9dabbb7261 44 // Configuraciones necesarias para el correcto funcionaiento del programa
Soto 3:6c9dabbb7261 45 ////////////////////////////////////////////////////////////////////////////////
griszAndy 7:6b8a1382b2ee 46
Soto 3:6c9dabbb7261 47 const static arm_cfft_instance_f32 *S;
Soto 3:6c9dabbb7261 48 Ticker samplingTimer; //objeto creado para habilitar las interrupciones con lso métodos de ticker
Soto 3:6c9dabbb7261 49 float samples[FFT_SIZE*2]; //Arreglo en el que se almacenan las muestras del tomadas ADC
Soto 3:6c9dabbb7261 50 float magnitudes[FFT_SIZE]; //Arreglo donde se almacenan las magnitudes de la FFT
Soto 3:6c9dabbb7261 51 int sampleCounter = 0; //Contador del número de muestras tomadas
griszAndy 7:6b8a1382b2ee 52
frankvnk 0:0c037aff5039 53 ////////////////////////////////////////////////////////////////////////////////
Soto 3:6c9dabbb7261 54 // FUNCIONES DE MUESTREO
frankvnk 0:0c037aff5039 55 ////////////////////////////////////////////////////////////////////////////////
griszAndy 7:6b8a1382b2ee 56
Soto 3:6c9dabbb7261 57 //Esta función permite realizar el muestreo de datos, se realiza como interrupción para asegurar el tiempo de muestreo deseado
frankvnk 0:0c037aff5039 58 void samplingCallback()
frankvnk 0:0c037aff5039 59 {
Soto 3:6c9dabbb7261 60 // Lectura del ADC y almacenamiento del dato
Soto 3:6c9dabbb7261 61 samples[sampleCounter] = (1023 * segnal) - 511.0f; //Se ajusta el valor de un rango de 0-1 a 0-511
griszAndy 6:c77012d6086b 62 // La función que calcula la transformada requiere de un valor imaginario, en este caso se le asigna 0
Soto 3:6c9dabbb7261 63 // ya que los valores muestreados son solamente reales.
frankvnk 0:0c037aff5039 64 samples[sampleCounter+1] = 0.0;
Soto 3:6c9dabbb7261 65 // Se ajusta la posición en el arreglo para almacenar el siguiente valor real
frankvnk 0:0c037aff5039 66 sampleCounter += 2;
Soto 3:6c9dabbb7261 67 //En caso de que el valor de sample counter sobrepase el tamaño del arreglo de almacenamiento se retira la interrupción
Soto 3:6c9dabbb7261 68 //de muestreo del programa
frankvnk 0:0c037aff5039 69 if (sampleCounter >= FFT_SIZE*2) {
frankvnk 0:0c037aff5039 70 samplingTimer.detach();
frankvnk 0:0c037aff5039 71 }
frankvnk 0:0c037aff5039 72 }
griszAndy 7:6b8a1382b2ee 73
Soto 3:6c9dabbb7261 74 //Esta función permite reiniciar el contador de muestras e insertar nuevamente la interrupción de muestreo
frankvnk 0:0c037aff5039 75 void samplingBegin()
frankvnk 0:0c037aff5039 76 {
Soto 3:6c9dabbb7261 77 sampleCounter = 0; //Se reinicia el contador de muestras
Soto 3:6c9dabbb7261 78 samplingTimer.attach_us(&samplingCallback, 1000000/SAMPLE_RATE_HZ); //Se incertala interrupción de muestreo la cual es llamada con la frecuencia de Sample_rate_hz
frankvnk 0:0c037aff5039 79 }
griszAndy 7:6b8a1382b2ee 80
Soto 3:6c9dabbb7261 81 //Función booleana que funciona como bandera para indicar que el meustreo de datos ha sido finalizado
frankvnk 0:0c037aff5039 82 bool samplingIsDone()
frankvnk 0:0c037aff5039 83 {
frankvnk 0:0c037aff5039 84 return sampleCounter >= FFT_SIZE*2;
frankvnk 0:0c037aff5039 85 }
griszAndy 7:6b8a1382b2ee 86
frankvnk 0:0c037aff5039 87 ////////////////////////////////////////////////////////////////////////////////
Soto 3:6c9dabbb7261 88 // MAIN DEL PROGRAMA
frankvnk 0:0c037aff5039 89 ////////////////////////////////////////////////////////////////////////////////
griszAndy 7:6b8a1382b2ee 90
frankvnk 0:0c037aff5039 91 int main()
frankvnk 0:0c037aff5039 92 {
Soto 3:6c9dabbb7261 93 //Configuración de las solicitudes de interrupción
frankvnk 0:0c037aff5039 94 NVIC_set_all_irq_priorities(1);
griszAndy 7:6b8a1382b2ee 95
Soto 3:6c9dabbb7261 96 //Configuración de la velocidad de la comunicación serial
griszAndy 5:cb7f0c71b5fd 97 pc.baud (115200); //Velocidad de la comunicación USB
griszAndy 7:6b8a1382b2ee 98
Soto 3:6c9dabbb7261 99 // Se incerta la interrupción de muestreo del ADC
frankvnk 0:0c037aff5039 100 samplingBegin();
griszAndy 7:6b8a1382b2ee 101
Soto 3:6c9dabbb7261 102 // Init arm_ccft_32 el registro cambiara dependiendo de la variable FFT_SIZE
griszAndy 6:c77012d6086b 103 switch (FFT_SIZE) {
griszAndy 6:c77012d6086b 104 case 512:
griszAndy 6:c77012d6086b 105 S = & arm_cfft_sR_f32_len512;
griszAndy 6:c77012d6086b 106 break;
griszAndy 6:c77012d6086b 107 case 1024:
griszAndy 6:c77012d6086b 108 S = & arm_cfft_sR_f32_len1024;
griszAndy 6:c77012d6086b 109 break;
griszAndy 6:c77012d6086b 110 case 2048:
griszAndy 6:c77012d6086b 111 S = & arm_cfft_sR_f32_len2048;
griszAndy 6:c77012d6086b 112 break;
griszAndy 6:c77012d6086b 113 case 4096:
griszAndy 6:c77012d6086b 114 S = & arm_cfft_sR_f32_len4096;
griszAndy 6:c77012d6086b 115 break;
frankvnk 2:035d551759a5 116 }
griszAndy 7:6b8a1382b2ee 117
frankvnk 0:0c037aff5039 118 while(1) {
Soto 3:6c9dabbb7261 119 // Se calcula la FFT si se ha terminado el muestreo
frankvnk 0:0c037aff5039 120 if (samplingIsDone()) {
griszAndy 7:6b8a1382b2ee 121
frankvnk 2:035d551759a5 122 arm_cfft_f32(S, samples, 0, 1);
frankvnk 0:0c037aff5039 123 arm_cmplx_mag_f32(samples, magnitudes, FFT_SIZE);
griszAndy 7:6b8a1382b2ee 124
Soto 3:6c9dabbb7261 125 for (int i = 0; i < FFT_SIZE/2+1; ++i) {
griszAndy 6:c77012d6086b 126 // pc.printf("%f, %f\r\n", i*freq, magnitudes[i]); //Esta línea se activa solo si se desea conocer la magnitudes generada por la FFT
Soto 3:6c9dabbb7261 127 //Sección de código que permite obtener el valor de frecuencia y magnitud mayor de los calculados
griszAndy 6:c77012d6086b 128 if (magnitudes[i]>max[1]) {
griszAndy 6:c77012d6086b 129 max[0]=i*freq;
griszAndy 6:c77012d6086b 130 max[1]=magnitudes[i]; //Habilitar solo para debuggeo
Soto 3:6c9dabbb7261 131 }
Soto 3:6c9dabbb7261 132 }
griszAndy 6:c77012d6086b 133
griszAndy 8:4c2a5a2b2a80 134 if (190<max[0] && max[0]<205) {
griszAndy 8:4c2a5a2b2a80 135 x="primer patron";
griszAndy 8:4c2a5a2b2a80 136
griszAndy 8:4c2a5a2b2a80 137 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 138 col3=1;
griszAndy 8:4c2a5a2b2a80 139 col1=1;
griszAndy 8:4c2a5a2b2a80 140 col0=1;
griszAndy 8:4c2a5a2b2a80 141 wait_us(208);
griszAndy 8:4c2a5a2b2a80 142 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 143 col3=0;
griszAndy 8:4c2a5a2b2a80 144 col1=0;
griszAndy 8:4c2a5a2b2a80 145 col0=0;
griszAndy 8:4c2a5a2b2a80 146 wait_us(208);
griszAndy 8:4c2a5a2b2a80 147
griszAndy 8:4c2a5a2b2a80 148 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 149 col3=1;
griszAndy 8:4c2a5a2b2a80 150 col2=1;
griszAndy 8:4c2a5a2b2a80 151 wait_us(208);
griszAndy 8:4c2a5a2b2a80 152 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 153 col3=0;
griszAndy 8:4c2a5a2b2a80 154 col2=0;
griszAndy 8:4c2a5a2b2a80 155 wait_us(208);
griszAndy 8:4c2a5a2b2a80 156
griszAndy 8:4c2a5a2b2a80 157 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 158 col3=1;
griszAndy 8:4c2a5a2b2a80 159 col2=1;
griszAndy 8:4c2a5a2b2a80 160 col0=1;
griszAndy 8:4c2a5a2b2a80 161 wait_us(208);
griszAndy 8:4c2a5a2b2a80 162 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 163 col3=0;
griszAndy 8:4c2a5a2b2a80 164 col2=0;
griszAndy 8:4c2a5a2b2a80 165 col0=0;
griszAndy 8:4c2a5a2b2a80 166 wait_us(208);
griszAndy 8:4c2a5a2b2a80 167
griszAndy 8:4c2a5a2b2a80 168 } else if (230<max[0] && max[0]<250) {
griszAndy 8:4c2a5a2b2a80 169 x="segundo patron";
griszAndy 8:4c2a5a2b2a80 170
griszAndy 8:4c2a5a2b2a80 171 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 172 col3=1;
griszAndy 8:4c2a5a2b2a80 173 col1=1;
griszAndy 8:4c2a5a2b2a80 174 col0=1;
griszAndy 8:4c2a5a2b2a80 175 wait_us(208);
griszAndy 8:4c2a5a2b2a80 176 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 177 col3=0;
griszAndy 8:4c2a5a2b2a80 178 col1=0;
griszAndy 8:4c2a5a2b2a80 179 col0=0;
griszAndy 8:4c2a5a2b2a80 180 wait_us(208);
griszAndy 8:4c2a5a2b2a80 181
griszAndy 8:4c2a5a2b2a80 182 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 183 col3=1;
griszAndy 8:4c2a5a2b2a80 184 col2=1;
griszAndy 8:4c2a5a2b2a80 185 wait_us(208);
griszAndy 8:4c2a5a2b2a80 186 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 187 col3=0;
griszAndy 8:4c2a5a2b2a80 188 col2=0;
griszAndy 8:4c2a5a2b2a80 189 wait_us(208);
griszAndy 8:4c2a5a2b2a80 190
griszAndy 8:4c2a5a2b2a80 191 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 192 col3=1;
griszAndy 8:4c2a5a2b2a80 193 col2=1;
griszAndy 8:4c2a5a2b2a80 194 col0=1;
griszAndy 8:4c2a5a2b2a80 195 wait_us(208);
griszAndy 8:4c2a5a2b2a80 196 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 197 col3=0;
griszAndy 8:4c2a5a2b2a80 198 col2=0;
griszAndy 8:4c2a5a2b2a80 199 col0=0;
griszAndy 8:4c2a5a2b2a80 200 wait_us(208);
griszAndy 8:4c2a5a2b2a80 201
griszAndy 8:4c2a5a2b2a80 202 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 203 col2=1;
griszAndy 8:4c2a5a2b2a80 204 col1=1;
griszAndy 8:4c2a5a2b2a80 205 wait_us(208);
griszAndy 8:4c2a5a2b2a80 206 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 207 col2=0;
griszAndy 8:4c2a5a2b2a80 208 col1=0;
griszAndy 8:4c2a5a2b2a80 209 wait_us(208);
griszAndy 8:4c2a5a2b2a80 210
griszAndy 8:4c2a5a2b2a80 211 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 212 col2=1;
griszAndy 8:4c2a5a2b2a80 213 col1=1;
griszAndy 8:4c2a5a2b2a80 214 col0=1;
griszAndy 8:4c2a5a2b2a80 215 wait_us(208);
griszAndy 8:4c2a5a2b2a80 216 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 217 col2=0;
griszAndy 8:4c2a5a2b2a80 218 col1=0;
griszAndy 8:4c2a5a2b2a80 219 col0=0;
griszAndy 8:4c2a5a2b2a80 220 wait_us(208);
griszAndy 8:4c2a5a2b2a80 221
griszAndy 8:4c2a5a2b2a80 222 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 223 col3=1;
griszAndy 8:4c2a5a2b2a80 224 wait_us(208);
griszAndy 8:4c2a5a2b2a80 225 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 226 col3=0;
griszAndy 8:4c2a5a2b2a80 227 wait_us(208);
griszAndy 8:4c2a5a2b2a80 228
griszAndy 8:4c2a5a2b2a80 229 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 230 col3=1;
griszAndy 8:4c2a5a2b2a80 231 col1=1;
griszAndy 8:4c2a5a2b2a80 232 col0=1;
griszAndy 8:4c2a5a2b2a80 233 wait_us(208);
griszAndy 8:4c2a5a2b2a80 234 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 235 col3=0;
griszAndy 8:4c2a5a2b2a80 236 col1=0;
griszAndy 8:4c2a5a2b2a80 237 col0=0;
griszAndy 8:4c2a5a2b2a80 238 wait_us(208);
griszAndy 8:4c2a5a2b2a80 239
griszAndy 8:4c2a5a2b2a80 240
griszAndy 8:4c2a5a2b2a80 241 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 242 col3=1;
griszAndy 8:4c2a5a2b2a80 243 col2=1;
griszAndy 8:4c2a5a2b2a80 244 wait_us(208);
griszAndy 8:4c2a5a2b2a80 245 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 246 col3=0;
griszAndy 8:4c2a5a2b2a80 247 col2=0;
griszAndy 8:4c2a5a2b2a80 248 wait_us(208);
griszAndy 8:4c2a5a2b2a80 249
griszAndy 8:4c2a5a2b2a80 250 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 251 col3=1;
griszAndy 8:4c2a5a2b2a80 252 col2=1;
griszAndy 8:4c2a5a2b2a80 253 col0=1;
griszAndy 8:4c2a5a2b2a80 254 wait_us(208);
griszAndy 8:4c2a5a2b2a80 255 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 256 col3=0;
griszAndy 8:4c2a5a2b2a80 257 col2=0;
griszAndy 8:4c2a5a2b2a80 258 col0=0;
griszAndy 8:4c2a5a2b2a80 259 wait_us(208);
griszAndy 8:4c2a5a2b2a80 260
griszAndy 8:4c2a5a2b2a80 261 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 262 col4=1;
griszAndy 8:4c2a5a2b2a80 263 wait_us(208);
griszAndy 8:4c2a5a2b2a80 264 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 265 col4=0;
griszAndy 8:4c2a5a2b2a80 266 wait_us(208);
griszAndy 8:4c2a5a2b2a80 267
griszAndy 8:4c2a5a2b2a80 268 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 269 col4=1;
griszAndy 8:4c2a5a2b2a80 270 col0=1;
griszAndy 8:4c2a5a2b2a80 271 wait_us(208);
griszAndy 8:4c2a5a2b2a80 272 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 273 col4=0;
griszAndy 8:4c2a5a2b2a80 274 col0=0;
griszAndy 8:4c2a5a2b2a80 275 wait_us(208);
griszAndy 8:4c2a5a2b2a80 276
griszAndy 8:4c2a5a2b2a80 277 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 278 col4=1;
griszAndy 8:4c2a5a2b2a80 279 col1=1;
griszAndy 8:4c2a5a2b2a80 280 wait_us(208);
griszAndy 8:4c2a5a2b2a80 281 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 282 col4=0;
griszAndy 8:4c2a5a2b2a80 283 col1=0;
griszAndy 8:4c2a5a2b2a80 284 wait_us(208);
griszAndy 8:4c2a5a2b2a80 285
griszAndy 8:4c2a5a2b2a80 286 } else if (310<max[0] && max[0]<320) {
griszAndy 8:4c2a5a2b2a80 287 x="tercer patron";
griszAndy 8:4c2a5a2b2a80 288
griszAndy 8:4c2a5a2b2a80 289 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 290 col3=1;
griszAndy 8:4c2a5a2b2a80 291 col1=1;
griszAndy 8:4c2a5a2b2a80 292 col0=1;
griszAndy 8:4c2a5a2b2a80 293 wait_us(208);
griszAndy 8:4c2a5a2b2a80 294 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 295 col3=0;
griszAndy 8:4c2a5a2b2a80 296 col1=0;
griszAndy 8:4c2a5a2b2a80 297 col0=0;
griszAndy 8:4c2a5a2b2a80 298 wait_us(208);
griszAndy 8:4c2a5a2b2a80 299
griszAndy 8:4c2a5a2b2a80 300 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 301 col3=1;
griszAndy 8:4c2a5a2b2a80 302 col2=1;
griszAndy 8:4c2a5a2b2a80 303 wait_us(208);
griszAndy 8:4c2a5a2b2a80 304 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 305 col3=0;
griszAndy 8:4c2a5a2b2a80 306 col2=0;
griszAndy 8:4c2a5a2b2a80 307 wait_us(208);
griszAndy 8:4c2a5a2b2a80 308
griszAndy 8:4c2a5a2b2a80 309 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 310 col3=1;
griszAndy 8:4c2a5a2b2a80 311 col2=1;
griszAndy 8:4c2a5a2b2a80 312 col0=1;
griszAndy 8:4c2a5a2b2a80 313 wait_us(208);
griszAndy 8:4c2a5a2b2a80 314 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 315 col3=0;
griszAndy 8:4c2a5a2b2a80 316 col2=0;
griszAndy 8:4c2a5a2b2a80 317 col0=0;
griszAndy 8:4c2a5a2b2a80 318 wait_us(208);
griszAndy 8:4c2a5a2b2a80 319
griszAndy 8:4c2a5a2b2a80 320 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 321 col2=1;
griszAndy 8:4c2a5a2b2a80 322 col1=1;
griszAndy 8:4c2a5a2b2a80 323 wait_us(208);
griszAndy 8:4c2a5a2b2a80 324 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 325 col2=0;
griszAndy 8:4c2a5a2b2a80 326 col1=0;
griszAndy 8:4c2a5a2b2a80 327 wait_us(208);
griszAndy 8:4c2a5a2b2a80 328
griszAndy 8:4c2a5a2b2a80 329 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 330 col2=1;
griszAndy 8:4c2a5a2b2a80 331 col1=1;
griszAndy 8:4c2a5a2b2a80 332 col0=1;
griszAndy 8:4c2a5a2b2a80 333 wait_us(208);
griszAndy 8:4c2a5a2b2a80 334 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 335 col2=0;
griszAndy 8:4c2a5a2b2a80 336 col1=0;
griszAndy 8:4c2a5a2b2a80 337 col0=0;
griszAndy 8:4c2a5a2b2a80 338 wait_us(208);
griszAndy 8:4c2a5a2b2a80 339
griszAndy 8:4c2a5a2b2a80 340 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 341 col3=1;
griszAndy 8:4c2a5a2b2a80 342 wait_us(208);
griszAndy 8:4c2a5a2b2a80 343 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 344 col3=0;
griszAndy 8:4c2a5a2b2a80 345 wait_us(208);
griszAndy 8:4c2a5a2b2a80 346
griszAndy 8:4c2a5a2b2a80 347 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 348 col3=1;
griszAndy 8:4c2a5a2b2a80 349 col1=1;
griszAndy 8:4c2a5a2b2a80 350 col0=1;
griszAndy 8:4c2a5a2b2a80 351 wait_us(208);
griszAndy 8:4c2a5a2b2a80 352 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 353 col3=0;
griszAndy 8:4c2a5a2b2a80 354 col1=0;
griszAndy 8:4c2a5a2b2a80 355 col0=0;
griszAndy 8:4c2a5a2b2a80 356 wait_us(208);
griszAndy 8:4c2a5a2b2a80 357
griszAndy 8:4c2a5a2b2a80 358
griszAndy 8:4c2a5a2b2a80 359 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 360 col3=1;
griszAndy 8:4c2a5a2b2a80 361 col2=1;
griszAndy 8:4c2a5a2b2a80 362 wait_us(208);
griszAndy 8:4c2a5a2b2a80 363 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 364 col3=0;
griszAndy 8:4c2a5a2b2a80 365 col2=0;
griszAndy 8:4c2a5a2b2a80 366 wait_us(208);
griszAndy 8:4c2a5a2b2a80 367
griszAndy 8:4c2a5a2b2a80 368 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 369 col3=1;
griszAndy 8:4c2a5a2b2a80 370 col2=1;
griszAndy 8:4c2a5a2b2a80 371 col0=1;
griszAndy 8:4c2a5a2b2a80 372 wait_us(208);
griszAndy 8:4c2a5a2b2a80 373 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 374 col3=0;
griszAndy 8:4c2a5a2b2a80 375 col2=0;
griszAndy 8:4c2a5a2b2a80 376 col0=0;
griszAndy 8:4c2a5a2b2a80 377 wait_us(208);
griszAndy 8:4c2a5a2b2a80 378
griszAndy 8:4c2a5a2b2a80 379 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 380 col4=1;
griszAndy 8:4c2a5a2b2a80 381 wait_us(208);
griszAndy 8:4c2a5a2b2a80 382 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 383 col4=0;
griszAndy 8:4c2a5a2b2a80 384 wait_us(208);
griszAndy 8:4c2a5a2b2a80 385
griszAndy 8:4c2a5a2b2a80 386 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 387 col4=1;
griszAndy 8:4c2a5a2b2a80 388 col0=1;
griszAndy 8:4c2a5a2b2a80 389 wait_us(208);
griszAndy 8:4c2a5a2b2a80 390 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 391 col4=0;
griszAndy 8:4c2a5a2b2a80 392 col0=0;
griszAndy 8:4c2a5a2b2a80 393 wait_us(208);
griszAndy 8:4c2a5a2b2a80 394
griszAndy 8:4c2a5a2b2a80 395 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 396 col4=1;
griszAndy 8:4c2a5a2b2a80 397 col1=1;
griszAndy 8:4c2a5a2b2a80 398 wait_us(208);
griszAndy 8:4c2a5a2b2a80 399 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 400 col4=0;
griszAndy 8:4c2a5a2b2a80 401 col1=0;
griszAndy 8:4c2a5a2b2a80 402 wait_us(208);
griszAndy 8:4c2a5a2b2a80 403
griszAndy 8:4c2a5a2b2a80 404 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 405 col0=1;
griszAndy 8:4c2a5a2b2a80 406 wait_us(208);
griszAndy 8:4c2a5a2b2a80 407 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 408 col0=0;
griszAndy 8:4c2a5a2b2a80 409 wait_us(208);
griszAndy 8:4c2a5a2b2a80 410
griszAndy 8:4c2a5a2b2a80 411 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 412 col1=1;
griszAndy 8:4c2a5a2b2a80 413 wait_us(208);
griszAndy 8:4c2a5a2b2a80 414 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 415 col1=0;
griszAndy 8:4c2a5a2b2a80 416 wait_us(208);
griszAndy 8:4c2a5a2b2a80 417
griszAndy 8:4c2a5a2b2a80 418 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 419 col0=1;
griszAndy 8:4c2a5a2b2a80 420 col1=1;
griszAndy 8:4c2a5a2b2a80 421 wait_us(208);
griszAndy 8:4c2a5a2b2a80 422 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 423 col0=0;
griszAndy 8:4c2a5a2b2a80 424 col1=0;
griszAndy 8:4c2a5a2b2a80 425 wait_us(208);
griszAndy 8:4c2a5a2b2a80 426
griszAndy 8:4c2a5a2b2a80 427 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 428 col1=1;
griszAndy 8:4c2a5a2b2a80 429 col2=1;
griszAndy 8:4c2a5a2b2a80 430 wait_us(208);
griszAndy 8:4c2a5a2b2a80 431 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 432 col1=0;
griszAndy 8:4c2a5a2b2a80 433 col2=0;
griszAndy 8:4c2a5a2b2a80 434 wait_us(208);
griszAndy 8:4c2a5a2b2a80 435
griszAndy 8:4c2a5a2b2a80 436 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 437 col0=1;
griszAndy 8:4c2a5a2b2a80 438 col1=1;
griszAndy 8:4c2a5a2b2a80 439 col2=1;
griszAndy 8:4c2a5a2b2a80 440 wait_us(208);
griszAndy 8:4c2a5a2b2a80 441 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 442 col0=0;
griszAndy 8:4c2a5a2b2a80 443 col1=0;
griszAndy 8:4c2a5a2b2a80 444 col2=0;
griszAndy 8:4c2a5a2b2a80 445 wait_us(208);
griszAndy 8:4c2a5a2b2a80 446
griszAndy 8:4c2a5a2b2a80 447 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 448 col3=1;
griszAndy 8:4c2a5a2b2a80 449 wait_us(208);
griszAndy 8:4c2a5a2b2a80 450 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 451 col3=0;
griszAndy 8:4c2a5a2b2a80 452 wait_us(208);
griszAndy 8:4c2a5a2b2a80 453
griszAndy 8:4c2a5a2b2a80 454 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 455 col0=1;
griszAndy 8:4c2a5a2b2a80 456 col1=1;
griszAndy 8:4c2a5a2b2a80 457 col3=1;
griszAndy 8:4c2a5a2b2a80 458 wait_us(208);
griszAndy 8:4c2a5a2b2a80 459 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 460 col0=0;
griszAndy 8:4c2a5a2b2a80 461 col1=0;
griszAndy 8:4c2a5a2b2a80 462 col3=0;
griszAndy 8:4c2a5a2b2a80 463 wait_us(208);
griszAndy 8:4c2a5a2b2a80 464
griszAndy 8:4c2a5a2b2a80 465 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 466 col3=1;
griszAndy 8:4c2a5a2b2a80 467 col2=1;
griszAndy 8:4c2a5a2b2a80 468 wait_us(208);
griszAndy 8:4c2a5a2b2a80 469 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 470 col3=0;
griszAndy 8:4c2a5a2b2a80 471 col2=0;
griszAndy 8:4c2a5a2b2a80 472 wait_us(208);
griszAndy 8:4c2a5a2b2a80 473
griszAndy 8:4c2a5a2b2a80 474 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 475 col0=1;
griszAndy 8:4c2a5a2b2a80 476 col3=1;
griszAndy 8:4c2a5a2b2a80 477 col2=1;
griszAndy 8:4c2a5a2b2a80 478 wait_us(208);
griszAndy 8:4c2a5a2b2a80 479 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 480 col0=0;
griszAndy 8:4c2a5a2b2a80 481 col3=0;
griszAndy 8:4c2a5a2b2a80 482 col2=0;
griszAndy 8:4c2a5a2b2a80 483 wait_us(208);
griszAndy 8:4c2a5a2b2a80 484
griszAndy 8:4c2a5a2b2a80 485 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 486 col4=1;
griszAndy 8:4c2a5a2b2a80 487 wait_us(208);
griszAndy 8:4c2a5a2b2a80 488 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 489 col4=0;
griszAndy 8:4c2a5a2b2a80 490 wait_us(208);
griszAndy 8:4c2a5a2b2a80 491
griszAndy 8:4c2a5a2b2a80 492 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 493 col0=1;
griszAndy 8:4c2a5a2b2a80 494 col4=1;
griszAndy 8:4c2a5a2b2a80 495 wait_us(208);
griszAndy 8:4c2a5a2b2a80 496 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 497 col0=0;
griszAndy 8:4c2a5a2b2a80 498 col4=0;
griszAndy 8:4c2a5a2b2a80 499 wait_us(208);
griszAndy 8:4c2a5a2b2a80 500
griszAndy 8:4c2a5a2b2a80 501 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 502 col1=1;
griszAndy 8:4c2a5a2b2a80 503 col4=1;
griszAndy 8:4c2a5a2b2a80 504 wait_us(208);
griszAndy 8:4c2a5a2b2a80 505 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 506 col1=0;
griszAndy 8:4c2a5a2b2a80 507 col4=0;
griszAndy 8:4c2a5a2b2a80 508 wait_us(208);
griszAndy 8:4c2a5a2b2a80 509
griszAndy 8:4c2a5a2b2a80 510 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 511 col0=1;
griszAndy 8:4c2a5a2b2a80 512 col4=1;
griszAndy 8:4c2a5a2b2a80 513 col2=1;
griszAndy 8:4c2a5a2b2a80 514 wait_us(208);
griszAndy 8:4c2a5a2b2a80 515 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 516 col0=0;
griszAndy 8:4c2a5a2b2a80 517 col4=0;
griszAndy 8:4c2a5a2b2a80 518 col2=0;
griszAndy 8:4c2a5a2b2a80 519 wait_us(208);
griszAndy 8:4c2a5a2b2a80 520
griszAndy 8:4c2a5a2b2a80 521 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 522 col4=1;
griszAndy 8:4c2a5a2b2a80 523 col1=1;
griszAndy 8:4c2a5a2b2a80 524 col2=1;
griszAndy 8:4c2a5a2b2a80 525 wait_us(208);
griszAndy 8:4c2a5a2b2a80 526 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 527 col4=0;
griszAndy 8:4c2a5a2b2a80 528 col1=0;
griszAndy 8:4c2a5a2b2a80 529 col2=0;
griszAndy 8:4c2a5a2b2a80 530 wait_us(208);
griszAndy 8:4c2a5a2b2a80 531
griszAndy 8:4c2a5a2b2a80 532 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 533 col0=1;
griszAndy 8:4c2a5a2b2a80 534 col1=1;
griszAndy 8:4c2a5a2b2a80 535 col2=1;
griszAndy 8:4c2a5a2b2a80 536 col4=1;
griszAndy 8:4c2a5a2b2a80 537 wait_us(208);
griszAndy 8:4c2a5a2b2a80 538 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 539 col0=0;
griszAndy 8:4c2a5a2b2a80 540 col1=0;
griszAndy 8:4c2a5a2b2a80 541 col2=0;
griszAndy 8:4c2a5a2b2a80 542 col4=0;
griszAndy 8:4c2a5a2b2a80 543 wait_us(208);
griszAndy 8:4c2a5a2b2a80 544
griszAndy 8:4c2a5a2b2a80 545 } else if (385<max[0] && max[0]<400) {
griszAndy 8:4c2a5a2b2a80 546 x="cuarto patron";
griszAndy 8:4c2a5a2b2a80 547
griszAndy 8:4c2a5a2b2a80 548 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 549 col3=1;
griszAndy 8:4c2a5a2b2a80 550 col1=1;
griszAndy 8:4c2a5a2b2a80 551 col0=1;
griszAndy 8:4c2a5a2b2a80 552 wait_us(208);
griszAndy 8:4c2a5a2b2a80 553 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 554 col3=0;
griszAndy 8:4c2a5a2b2a80 555 col1=0;
griszAndy 8:4c2a5a2b2a80 556 col0=0;
griszAndy 8:4c2a5a2b2a80 557 wait_us(208);
griszAndy 8:4c2a5a2b2a80 558
griszAndy 8:4c2a5a2b2a80 559 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 560 col3=1;
griszAndy 8:4c2a5a2b2a80 561 col2=1;
griszAndy 8:4c2a5a2b2a80 562 wait_us(208);
griszAndy 8:4c2a5a2b2a80 563 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 564 col3=0;
griszAndy 8:4c2a5a2b2a80 565 col2=0;
griszAndy 8:4c2a5a2b2a80 566 wait_us(208);
griszAndy 8:4c2a5a2b2a80 567
griszAndy 8:4c2a5a2b2a80 568 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 569 col3=1;
griszAndy 8:4c2a5a2b2a80 570 col2=1;
griszAndy 8:4c2a5a2b2a80 571 col0=1;
griszAndy 8:4c2a5a2b2a80 572 wait_us(208);
griszAndy 8:4c2a5a2b2a80 573 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 574 col3=0;
griszAndy 8:4c2a5a2b2a80 575 col2=0;
griszAndy 8:4c2a5a2b2a80 576 col0=0;
griszAndy 8:4c2a5a2b2a80 577 wait_us(208);
griszAndy 8:4c2a5a2b2a80 578
griszAndy 8:4c2a5a2b2a80 579 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 580 col2=1;
griszAndy 8:4c2a5a2b2a80 581 col1=1;
griszAndy 8:4c2a5a2b2a80 582 wait_us(208);
griszAndy 8:4c2a5a2b2a80 583 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 584 col2=0;
griszAndy 8:4c2a5a2b2a80 585 col1=0;
griszAndy 8:4c2a5a2b2a80 586 wait_us(208);
griszAndy 8:4c2a5a2b2a80 587
griszAndy 8:4c2a5a2b2a80 588 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 589 col2=1;
griszAndy 8:4c2a5a2b2a80 590 col1=1;
griszAndy 8:4c2a5a2b2a80 591 col0=1;
griszAndy 8:4c2a5a2b2a80 592 wait_us(208);
griszAndy 8:4c2a5a2b2a80 593 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 594 col2=0;
griszAndy 8:4c2a5a2b2a80 595 col1=0;
griszAndy 8:4c2a5a2b2a80 596 col0=0;
griszAndy 8:4c2a5a2b2a80 597 wait_us(208);
griszAndy 8:4c2a5a2b2a80 598
griszAndy 8:4c2a5a2b2a80 599 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 600 col3=1;
griszAndy 8:4c2a5a2b2a80 601 wait_us(208);
griszAndy 8:4c2a5a2b2a80 602 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 603 col3=0;
griszAndy 8:4c2a5a2b2a80 604 wait_us(208);
griszAndy 8:4c2a5a2b2a80 605
griszAndy 8:4c2a5a2b2a80 606 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 607 col3=1;
griszAndy 8:4c2a5a2b2a80 608 col1=1;
griszAndy 8:4c2a5a2b2a80 609 col0=1;
griszAndy 8:4c2a5a2b2a80 610 wait_us(208);
griszAndy 8:4c2a5a2b2a80 611 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 612 col3=0;
griszAndy 8:4c2a5a2b2a80 613 col1=0;
griszAndy 8:4c2a5a2b2a80 614 col0=0;
griszAndy 8:4c2a5a2b2a80 615 wait_us(208);
griszAndy 8:4c2a5a2b2a80 616
griszAndy 8:4c2a5a2b2a80 617
griszAndy 8:4c2a5a2b2a80 618 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 619 col3=1;
griszAndy 8:4c2a5a2b2a80 620 col2=1;
griszAndy 8:4c2a5a2b2a80 621 wait_us(208);
griszAndy 8:4c2a5a2b2a80 622 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 623 col3=0;
griszAndy 8:4c2a5a2b2a80 624 col2=0;
griszAndy 8:4c2a5a2b2a80 625 wait_us(208);
griszAndy 8:4c2a5a2b2a80 626
griszAndy 8:4c2a5a2b2a80 627 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 628 col3=1;
griszAndy 8:4c2a5a2b2a80 629 col2=1;
griszAndy 8:4c2a5a2b2a80 630 col0=1;
griszAndy 8:4c2a5a2b2a80 631 wait_us(208);
griszAndy 8:4c2a5a2b2a80 632 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 633 col3=0;
griszAndy 8:4c2a5a2b2a80 634 col2=0;
griszAndy 8:4c2a5a2b2a80 635 col0=0;
griszAndy 8:4c2a5a2b2a80 636 wait_us(208);
griszAndy 8:4c2a5a2b2a80 637
griszAndy 8:4c2a5a2b2a80 638 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 639 col4=1;
griszAndy 8:4c2a5a2b2a80 640 wait_us(208);
griszAndy 8:4c2a5a2b2a80 641 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 642 col4=0;
griszAndy 8:4c2a5a2b2a80 643 wait_us(208);
griszAndy 8:4c2a5a2b2a80 644
griszAndy 8:4c2a5a2b2a80 645 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 646 col4=1;
griszAndy 8:4c2a5a2b2a80 647 col0=1;
griszAndy 8:4c2a5a2b2a80 648 wait_us(208);
griszAndy 8:4c2a5a2b2a80 649 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 650 col4=0;
griszAndy 8:4c2a5a2b2a80 651 col0=0;
griszAndy 8:4c2a5a2b2a80 652 wait_us(208);
griszAndy 8:4c2a5a2b2a80 653
griszAndy 8:4c2a5a2b2a80 654 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 655 col4=1;
griszAndy 8:4c2a5a2b2a80 656 col1=1;
griszAndy 8:4c2a5a2b2a80 657 wait_us(208);
griszAndy 8:4c2a5a2b2a80 658 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 659 col4=0;
griszAndy 8:4c2a5a2b2a80 660 col1=0;
griszAndy 8:4c2a5a2b2a80 661 wait_us(208);
griszAndy 8:4c2a5a2b2a80 662
griszAndy 8:4c2a5a2b2a80 663 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 664 col0=1;
griszAndy 8:4c2a5a2b2a80 665 wait_us(208);
griszAndy 8:4c2a5a2b2a80 666 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 667 col0=0;
griszAndy 8:4c2a5a2b2a80 668 wait_us(208);
griszAndy 8:4c2a5a2b2a80 669
griszAndy 8:4c2a5a2b2a80 670 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 671 col1=1;
griszAndy 8:4c2a5a2b2a80 672 wait_us(208);
griszAndy 8:4c2a5a2b2a80 673 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 674 col1=0;
griszAndy 8:4c2a5a2b2a80 675 wait_us(208);
griszAndy 8:4c2a5a2b2a80 676
griszAndy 8:4c2a5a2b2a80 677 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 678 col0=1;
griszAndy 8:4c2a5a2b2a80 679 col1=1;
griszAndy 8:4c2a5a2b2a80 680 wait_us(208);
griszAndy 8:4c2a5a2b2a80 681 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 682 col0=0;
griszAndy 8:4c2a5a2b2a80 683 col1=0;
griszAndy 8:4c2a5a2b2a80 684 wait_us(208);
griszAndy 8:4c2a5a2b2a80 685
griszAndy 8:4c2a5a2b2a80 686 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 687 col1=1;
griszAndy 8:4c2a5a2b2a80 688 col2=1;
griszAndy 8:4c2a5a2b2a80 689 wait_us(208);
griszAndy 8:4c2a5a2b2a80 690 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 691 col1=0;
griszAndy 8:4c2a5a2b2a80 692 col2=0;
griszAndy 8:4c2a5a2b2a80 693 wait_us(208);
griszAndy 8:4c2a5a2b2a80 694
griszAndy 8:4c2a5a2b2a80 695 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 696 col0=1;
griszAndy 8:4c2a5a2b2a80 697 col1=1;
griszAndy 8:4c2a5a2b2a80 698 col2=1;
griszAndy 8:4c2a5a2b2a80 699 wait_us(208);
griszAndy 8:4c2a5a2b2a80 700 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 701 col0=0;
griszAndy 8:4c2a5a2b2a80 702 col1=0;
griszAndy 8:4c2a5a2b2a80 703 col2=0;
griszAndy 8:4c2a5a2b2a80 704 wait_us(208);
griszAndy 8:4c2a5a2b2a80 705
griszAndy 8:4c2a5a2b2a80 706 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 707 col3=1;
griszAndy 8:4c2a5a2b2a80 708 wait_us(208);
griszAndy 8:4c2a5a2b2a80 709 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 710 col3=0;
griszAndy 8:4c2a5a2b2a80 711 wait_us(208);
griszAndy 8:4c2a5a2b2a80 712
griszAndy 8:4c2a5a2b2a80 713 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 714 col0=1;
griszAndy 8:4c2a5a2b2a80 715 col1=1;
griszAndy 8:4c2a5a2b2a80 716 col3=1;
griszAndy 8:4c2a5a2b2a80 717 wait_us(208);
griszAndy 8:4c2a5a2b2a80 718 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 719 col0=0;
griszAndy 8:4c2a5a2b2a80 720 col1=0;
griszAndy 8:4c2a5a2b2a80 721 col3=0;
griszAndy 8:4c2a5a2b2a80 722 wait_us(208);
griszAndy 8:4c2a5a2b2a80 723
griszAndy 8:4c2a5a2b2a80 724 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 725 col3=1;
griszAndy 8:4c2a5a2b2a80 726 col2=1;
griszAndy 8:4c2a5a2b2a80 727 wait_us(208);
griszAndy 8:4c2a5a2b2a80 728 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 729 col3=0;
griszAndy 8:4c2a5a2b2a80 730 col2=0;
griszAndy 8:4c2a5a2b2a80 731 wait_us(208);
griszAndy 8:4c2a5a2b2a80 732
griszAndy 8:4c2a5a2b2a80 733 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 734 col0=1;
griszAndy 8:4c2a5a2b2a80 735 col3=1;
griszAndy 8:4c2a5a2b2a80 736 col2=1;
griszAndy 8:4c2a5a2b2a80 737 wait_us(208);
griszAndy 8:4c2a5a2b2a80 738 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 739 col0=0;
griszAndy 8:4c2a5a2b2a80 740 col3=0;
griszAndy 8:4c2a5a2b2a80 741 col2=0;
griszAndy 8:4c2a5a2b2a80 742 wait_us(208);
griszAndy 8:4c2a5a2b2a80 743
griszAndy 8:4c2a5a2b2a80 744 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 745 col4=1;
griszAndy 8:4c2a5a2b2a80 746 wait_us(208);
griszAndy 8:4c2a5a2b2a80 747 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 748 col4=0;
griszAndy 8:4c2a5a2b2a80 749 wait_us(208);
griszAndy 8:4c2a5a2b2a80 750
griszAndy 8:4c2a5a2b2a80 751 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 752 col0=1;
griszAndy 8:4c2a5a2b2a80 753 col4=1;
griszAndy 8:4c2a5a2b2a80 754 wait_us(208);
griszAndy 8:4c2a5a2b2a80 755 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 756 col0=0;
griszAndy 8:4c2a5a2b2a80 757 col4=0;
griszAndy 8:4c2a5a2b2a80 758 wait_us(208);
griszAndy 8:4c2a5a2b2a80 759
griszAndy 8:4c2a5a2b2a80 760 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 761 col1=1;
griszAndy 8:4c2a5a2b2a80 762 col4=1;
griszAndy 8:4c2a5a2b2a80 763 wait_us(208);
griszAndy 8:4c2a5a2b2a80 764 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 765 col1=0;
griszAndy 8:4c2a5a2b2a80 766 col4=0;
griszAndy 8:4c2a5a2b2a80 767 wait_us(208);
griszAndy 8:4c2a5a2b2a80 768
griszAndy 8:4c2a5a2b2a80 769 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 770 col0=1;
griszAndy 8:4c2a5a2b2a80 771 col4=1;
griszAndy 8:4c2a5a2b2a80 772 col2=1;
griszAndy 8:4c2a5a2b2a80 773 wait_us(208);
griszAndy 8:4c2a5a2b2a80 774 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 775 col0=0;
griszAndy 8:4c2a5a2b2a80 776 col4=0;
griszAndy 8:4c2a5a2b2a80 777 col2=0;
griszAndy 8:4c2a5a2b2a80 778 wait_us(208);
griszAndy 8:4c2a5a2b2a80 779
griszAndy 8:4c2a5a2b2a80 780 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 781 col4=1;
griszAndy 8:4c2a5a2b2a80 782 col1=1;
griszAndy 8:4c2a5a2b2a80 783 col2=1;
griszAndy 8:4c2a5a2b2a80 784 wait_us(208);
griszAndy 8:4c2a5a2b2a80 785 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 786 col4=0;
griszAndy 8:4c2a5a2b2a80 787 col1=0;
griszAndy 8:4c2a5a2b2a80 788 col2=0;
griszAndy 8:4c2a5a2b2a80 789 wait_us(208);
griszAndy 8:4c2a5a2b2a80 790
griszAndy 8:4c2a5a2b2a80 791 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 792 col0=1;
griszAndy 8:4c2a5a2b2a80 793 col1=1;
griszAndy 8:4c2a5a2b2a80 794 col2=1;
griszAndy 8:4c2a5a2b2a80 795 col4=1;
griszAndy 8:4c2a5a2b2a80 796 wait_us(208);
griszAndy 8:4c2a5a2b2a80 797 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 798 col0=0;
griszAndy 8:4c2a5a2b2a80 799 col1=0;
griszAndy 8:4c2a5a2b2a80 800 col2=0;
griszAndy 8:4c2a5a2b2a80 801 col4=0;
griszAndy 8:4c2a5a2b2a80 802 wait_us(208);
griszAndy 8:4c2a5a2b2a80 803
griszAndy 8:4c2a5a2b2a80 804 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 805 col0=1;
griszAndy 8:4c2a5a2b2a80 806 wait_us(208);
griszAndy 8:4c2a5a2b2a80 807 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 808 col0=0;
griszAndy 8:4c2a5a2b2a80 809 wait_us(208);
griszAndy 8:4c2a5a2b2a80 810
griszAndy 8:4c2a5a2b2a80 811 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 812 col1=1;
griszAndy 8:4c2a5a2b2a80 813 wait_us(208);
griszAndy 8:4c2a5a2b2a80 814 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 815 col1=0;
griszAndy 8:4c2a5a2b2a80 816 wait_us(208);
griszAndy 8:4c2a5a2b2a80 817
griszAndy 8:4c2a5a2b2a80 818 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 819 col0=1;
griszAndy 8:4c2a5a2b2a80 820 col1=1;
griszAndy 8:4c2a5a2b2a80 821 wait_us(208);
griszAndy 8:4c2a5a2b2a80 822 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 823 col0=0;
griszAndy 8:4c2a5a2b2a80 824 col1=0;
griszAndy 8:4c2a5a2b2a80 825 wait_us(208);
griszAndy 8:4c2a5a2b2a80 826
griszAndy 8:4c2a5a2b2a80 827 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 828 col1=1;
griszAndy 8:4c2a5a2b2a80 829 col2=1;
griszAndy 8:4c2a5a2b2a80 830 wait_us(208);
griszAndy 8:4c2a5a2b2a80 831 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 832 col1=0;
griszAndy 8:4c2a5a2b2a80 833 col2=0;
griszAndy 8:4c2a5a2b2a80 834 wait_us(208);
griszAndy 8:4c2a5a2b2a80 835
griszAndy 8:4c2a5a2b2a80 836 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 837 col0=1;
griszAndy 8:4c2a5a2b2a80 838 col1=1;
griszAndy 8:4c2a5a2b2a80 839 col2=1;
griszAndy 8:4c2a5a2b2a80 840 wait_us(208);
griszAndy 8:4c2a5a2b2a80 841 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 842 col0=0;
griszAndy 8:4c2a5a2b2a80 843 col1=0;
griszAndy 8:4c2a5a2b2a80 844 col2=0;
griszAndy 8:4c2a5a2b2a80 845 wait_us(208);
griszAndy 8:4c2a5a2b2a80 846
griszAndy 8:4c2a5a2b2a80 847 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 848 col3=1;
griszAndy 8:4c2a5a2b2a80 849 wait_us(208);
griszAndy 8:4c2a5a2b2a80 850 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 851 col3=0;
griszAndy 8:4c2a5a2b2a80 852 wait_us(208);
griszAndy 8:4c2a5a2b2a80 853
griszAndy 8:4c2a5a2b2a80 854 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 855 col0=1;
griszAndy 8:4c2a5a2b2a80 856 col1=1;
griszAndy 8:4c2a5a2b2a80 857 col3=1;
griszAndy 8:4c2a5a2b2a80 858 wait_us(208);
griszAndy 8:4c2a5a2b2a80 859 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 860 col0=0;
griszAndy 8:4c2a5a2b2a80 861 col1=0;
griszAndy 8:4c2a5a2b2a80 862 col3=0;
griszAndy 8:4c2a5a2b2a80 863 wait_us(208);
griszAndy 8:4c2a5a2b2a80 864
griszAndy 8:4c2a5a2b2a80 865 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 866 col3=1;
griszAndy 8:4c2a5a2b2a80 867 col2=1;
griszAndy 8:4c2a5a2b2a80 868 wait_us(208);
griszAndy 8:4c2a5a2b2a80 869 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 870 col3=0;
griszAndy 8:4c2a5a2b2a80 871 col2=0;
griszAndy 8:4c2a5a2b2a80 872 wait_us(208);
griszAndy 8:4c2a5a2b2a80 873
griszAndy 8:4c2a5a2b2a80 874 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 875 col0=1;
griszAndy 8:4c2a5a2b2a80 876 col3=1;
griszAndy 8:4c2a5a2b2a80 877 col2=1;
griszAndy 8:4c2a5a2b2a80 878 wait_us(208);
griszAndy 8:4c2a5a2b2a80 879 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 880 col0=0;
griszAndy 8:4c2a5a2b2a80 881 col3=0;
griszAndy 8:4c2a5a2b2a80 882 col2=0;
griszAndy 8:4c2a5a2b2a80 883 wait_us(208);
griszAndy 8:4c2a5a2b2a80 884
griszAndy 8:4c2a5a2b2a80 885 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 886 col4=1;
griszAndy 8:4c2a5a2b2a80 887 wait_us(208);
griszAndy 8:4c2a5a2b2a80 888 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 889 col4=0;
griszAndy 8:4c2a5a2b2a80 890 wait_us(208);
griszAndy 8:4c2a5a2b2a80 891
griszAndy 8:4c2a5a2b2a80 892 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 893 col0=1;
griszAndy 8:4c2a5a2b2a80 894 col4=1;
griszAndy 8:4c2a5a2b2a80 895 wait_us(208);
griszAndy 8:4c2a5a2b2a80 896 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 897 col0=0;
griszAndy 8:4c2a5a2b2a80 898 col4=0;
griszAndy 8:4c2a5a2b2a80 899 wait_us(208);
griszAndy 8:4c2a5a2b2a80 900
griszAndy 8:4c2a5a2b2a80 901 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 902 col1=1;
griszAndy 8:4c2a5a2b2a80 903 col4=1;
griszAndy 8:4c2a5a2b2a80 904 wait_us(208);
griszAndy 8:4c2a5a2b2a80 905 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 906 col1=0;
griszAndy 8:4c2a5a2b2a80 907 col4=0;
griszAndy 8:4c2a5a2b2a80 908 wait_us(208);
griszAndy 8:4c2a5a2b2a80 909
griszAndy 8:4c2a5a2b2a80 910 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 911 col0=1;
griszAndy 8:4c2a5a2b2a80 912 col4=1;
griszAndy 8:4c2a5a2b2a80 913 col2=1;
griszAndy 8:4c2a5a2b2a80 914 wait_us(208);
griszAndy 8:4c2a5a2b2a80 915 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 916 col0=0;
griszAndy 8:4c2a5a2b2a80 917 col4=0;
griszAndy 8:4c2a5a2b2a80 918 col2=0;
griszAndy 8:4c2a5a2b2a80 919 wait_us(208);
griszAndy 8:4c2a5a2b2a80 920
griszAndy 8:4c2a5a2b2a80 921 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 922 col4=1;
griszAndy 8:4c2a5a2b2a80 923 col1=1;
griszAndy 8:4c2a5a2b2a80 924 col2=1;
griszAndy 8:4c2a5a2b2a80 925 wait_us(208);
griszAndy 8:4c2a5a2b2a80 926 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 927 col4=0;
griszAndy 8:4c2a5a2b2a80 928 col1=0;
griszAndy 8:4c2a5a2b2a80 929 col2=0;
griszAndy 8:4c2a5a2b2a80 930 wait_us(208);
griszAndy 8:4c2a5a2b2a80 931
griszAndy 8:4c2a5a2b2a80 932 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 933 col0=1;
griszAndy 8:4c2a5a2b2a80 934 col1=1;
griszAndy 8:4c2a5a2b2a80 935 col2=1;
griszAndy 8:4c2a5a2b2a80 936 col4=1;
griszAndy 8:4c2a5a2b2a80 937 wait_us(208);
griszAndy 8:4c2a5a2b2a80 938 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 939 col0=0;
griszAndy 8:4c2a5a2b2a80 940 col1=0;
griszAndy 8:4c2a5a2b2a80 941 col2=0;
griszAndy 8:4c2a5a2b2a80 942 col4=0;
griszAndy 8:4c2a5a2b2a80 943 wait_us(208);
griszAndy 8:4c2a5a2b2a80 944
griszAndy 8:4c2a5a2b2a80 945 } else if (495<max[0] && max[0]<505) {
griszAndy 8:4c2a5a2b2a80 946 x="quinto patron";
griszAndy 8:4c2a5a2b2a80 947
griszAndy 8:4c2a5a2b2a80 948 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 949 col3=1;
griszAndy 8:4c2a5a2b2a80 950 col1=1;
griszAndy 8:4c2a5a2b2a80 951 col0=1;
griszAndy 8:4c2a5a2b2a80 952 wait_us(208);
griszAndy 8:4c2a5a2b2a80 953 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 954 col3=0;
griszAndy 8:4c2a5a2b2a80 955 col1=0;
griszAndy 8:4c2a5a2b2a80 956 col0=0;
griszAndy 8:4c2a5a2b2a80 957 wait_us(208);
griszAndy 8:4c2a5a2b2a80 958
griszAndy 8:4c2a5a2b2a80 959 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 960 col3=1;
griszAndy 8:4c2a5a2b2a80 961 col2=1;
griszAndy 8:4c2a5a2b2a80 962 wait_us(208);
griszAndy 8:4c2a5a2b2a80 963 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 964 col3=0;
griszAndy 8:4c2a5a2b2a80 965 col2=0;
griszAndy 8:4c2a5a2b2a80 966 wait_us(208);
griszAndy 8:4c2a5a2b2a80 967
griszAndy 8:4c2a5a2b2a80 968 floor1 = 1;
griszAndy 8:4c2a5a2b2a80 969 col3=1;
griszAndy 8:4c2a5a2b2a80 970 col2=1;
griszAndy 8:4c2a5a2b2a80 971 col0=1;
griszAndy 8:4c2a5a2b2a80 972 wait_us(208);
griszAndy 8:4c2a5a2b2a80 973 floor1 = 0;
griszAndy 8:4c2a5a2b2a80 974 col3=0;
griszAndy 8:4c2a5a2b2a80 975 col2=0;
griszAndy 8:4c2a5a2b2a80 976 col0=0;
griszAndy 8:4c2a5a2b2a80 977 wait_us(208);
griszAndy 8:4c2a5a2b2a80 978
griszAndy 8:4c2a5a2b2a80 979 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 980 col2=1;
griszAndy 8:4c2a5a2b2a80 981 col1=1;
griszAndy 8:4c2a5a2b2a80 982 wait_us(208);
griszAndy 8:4c2a5a2b2a80 983 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 984 col2=0;
griszAndy 8:4c2a5a2b2a80 985 col1=0;
griszAndy 8:4c2a5a2b2a80 986 wait_us(208);
griszAndy 8:4c2a5a2b2a80 987
griszAndy 8:4c2a5a2b2a80 988 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 989 col2=1;
griszAndy 8:4c2a5a2b2a80 990 col1=1;
griszAndy 8:4c2a5a2b2a80 991 col0=1;
griszAndy 8:4c2a5a2b2a80 992 wait_us(208);
griszAndy 8:4c2a5a2b2a80 993 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 994 col2=0;
griszAndy 8:4c2a5a2b2a80 995 col1=0;
griszAndy 8:4c2a5a2b2a80 996 col0=0;
griszAndy 8:4c2a5a2b2a80 997 wait_us(208);
griszAndy 8:4c2a5a2b2a80 998
griszAndy 8:4c2a5a2b2a80 999 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 1000 col3=1;
griszAndy 8:4c2a5a2b2a80 1001 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1002 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 1003 col3=0;
griszAndy 8:4c2a5a2b2a80 1004 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1005
griszAndy 8:4c2a5a2b2a80 1006 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 1007 col3=1;
griszAndy 8:4c2a5a2b2a80 1008 col1=1;
griszAndy 8:4c2a5a2b2a80 1009 col0=1;
griszAndy 8:4c2a5a2b2a80 1010 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1011 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 1012 col3=0;
griszAndy 8:4c2a5a2b2a80 1013 col1=0;
griszAndy 8:4c2a5a2b2a80 1014 col0=0;
griszAndy 8:4c2a5a2b2a80 1015 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1016
griszAndy 8:4c2a5a2b2a80 1017
griszAndy 8:4c2a5a2b2a80 1018 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 1019 col3=1;
griszAndy 8:4c2a5a2b2a80 1020 col2=1;
griszAndy 8:4c2a5a2b2a80 1021 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1022 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 1023 col3=0;
griszAndy 8:4c2a5a2b2a80 1024 col2=0;
griszAndy 8:4c2a5a2b2a80 1025 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1026
griszAndy 8:4c2a5a2b2a80 1027 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 1028 col3=1;
griszAndy 8:4c2a5a2b2a80 1029 col2=1;
griszAndy 8:4c2a5a2b2a80 1030 col0=1;
griszAndy 8:4c2a5a2b2a80 1031 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1032 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 1033 col3=0;
griszAndy 8:4c2a5a2b2a80 1034 col2=0;
griszAndy 8:4c2a5a2b2a80 1035 col0=0;
griszAndy 8:4c2a5a2b2a80 1036 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1037
griszAndy 8:4c2a5a2b2a80 1038 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 1039 col4=1;
griszAndy 8:4c2a5a2b2a80 1040 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1041 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 1042 col4=0;
griszAndy 8:4c2a5a2b2a80 1043 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1044
griszAndy 8:4c2a5a2b2a80 1045 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 1046 col4=1;
griszAndy 8:4c2a5a2b2a80 1047 col0=1;
griszAndy 8:4c2a5a2b2a80 1048 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1049 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 1050 col4=0;
griszAndy 8:4c2a5a2b2a80 1051 col0=0;
griszAndy 8:4c2a5a2b2a80 1052 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1053
griszAndy 8:4c2a5a2b2a80 1054 floor2 = 1;
griszAndy 8:4c2a5a2b2a80 1055 col4=1;
griszAndy 8:4c2a5a2b2a80 1056 col1=1;
griszAndy 8:4c2a5a2b2a80 1057 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1058 floor2 = 0;
griszAndy 8:4c2a5a2b2a80 1059 col4=0;
griszAndy 8:4c2a5a2b2a80 1060 col1=0;
griszAndy 8:4c2a5a2b2a80 1061 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1062
griszAndy 8:4c2a5a2b2a80 1063 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1064 col0=1;
griszAndy 8:4c2a5a2b2a80 1065 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1066 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1067 col0=0;
griszAndy 8:4c2a5a2b2a80 1068 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1069
griszAndy 8:4c2a5a2b2a80 1070 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1071 col1=1;
griszAndy 8:4c2a5a2b2a80 1072 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1073 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1074 col1=0;
griszAndy 8:4c2a5a2b2a80 1075 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1076
griszAndy 8:4c2a5a2b2a80 1077 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1078 col0=1;
griszAndy 8:4c2a5a2b2a80 1079 col1=1;
griszAndy 8:4c2a5a2b2a80 1080 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1081 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1082 col0=0;
griszAndy 8:4c2a5a2b2a80 1083 col1=0;
griszAndy 8:4c2a5a2b2a80 1084 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1085
griszAndy 8:4c2a5a2b2a80 1086 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1087 col1=1;
griszAndy 8:4c2a5a2b2a80 1088 col2=1;
griszAndy 8:4c2a5a2b2a80 1089 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1090 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1091 col1=0;
griszAndy 8:4c2a5a2b2a80 1092 col2=0;
griszAndy 8:4c2a5a2b2a80 1093 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1094
griszAndy 8:4c2a5a2b2a80 1095 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1096 col0=1;
griszAndy 8:4c2a5a2b2a80 1097 col1=1;
griszAndy 8:4c2a5a2b2a80 1098 col2=1;
griszAndy 8:4c2a5a2b2a80 1099 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1100 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1101 col0=0;
griszAndy 8:4c2a5a2b2a80 1102 col1=0;
griszAndy 8:4c2a5a2b2a80 1103 col2=0;
griszAndy 8:4c2a5a2b2a80 1104 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1105
griszAndy 8:4c2a5a2b2a80 1106 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1107 col3=1;
griszAndy 8:4c2a5a2b2a80 1108 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1109 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1110 col3=0;
griszAndy 8:4c2a5a2b2a80 1111 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1112
griszAndy 8:4c2a5a2b2a80 1113 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1114 col0=1;
griszAndy 8:4c2a5a2b2a80 1115 col1=1;
griszAndy 8:4c2a5a2b2a80 1116 col3=1;
griszAndy 8:4c2a5a2b2a80 1117 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1118 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1119 col0=0;
griszAndy 8:4c2a5a2b2a80 1120 col1=0;
griszAndy 8:4c2a5a2b2a80 1121 col3=0;
griszAndy 8:4c2a5a2b2a80 1122 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1123
griszAndy 8:4c2a5a2b2a80 1124 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1125 col3=1;
griszAndy 8:4c2a5a2b2a80 1126 col2=1;
griszAndy 8:4c2a5a2b2a80 1127 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1128 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1129 col3=0;
griszAndy 8:4c2a5a2b2a80 1130 col2=0;
griszAndy 8:4c2a5a2b2a80 1131 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1132
griszAndy 8:4c2a5a2b2a80 1133 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1134 col0=1;
griszAndy 8:4c2a5a2b2a80 1135 col3=1;
griszAndy 8:4c2a5a2b2a80 1136 col2=1;
griszAndy 8:4c2a5a2b2a80 1137 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1138 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1139 col0=0;
griszAndy 8:4c2a5a2b2a80 1140 col3=0;
griszAndy 8:4c2a5a2b2a80 1141 col2=0;
griszAndy 8:4c2a5a2b2a80 1142 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1143
griszAndy 8:4c2a5a2b2a80 1144 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1145 col4=1;
griszAndy 8:4c2a5a2b2a80 1146 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1147 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1148 col4=0;
griszAndy 8:4c2a5a2b2a80 1149 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1150
griszAndy 8:4c2a5a2b2a80 1151 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1152 col0=1;
griszAndy 8:4c2a5a2b2a80 1153 col4=1;
griszAndy 8:4c2a5a2b2a80 1154 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1155 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1156 col0=0;
griszAndy 8:4c2a5a2b2a80 1157 col4=0;
griszAndy 8:4c2a5a2b2a80 1158 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1159
griszAndy 8:4c2a5a2b2a80 1160 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1161 col1=1;
griszAndy 8:4c2a5a2b2a80 1162 col4=1;
griszAndy 8:4c2a5a2b2a80 1163 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1164 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1165 col1=0;
griszAndy 8:4c2a5a2b2a80 1166 col4=0;
griszAndy 8:4c2a5a2b2a80 1167 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1168
griszAndy 8:4c2a5a2b2a80 1169 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1170 col0=1;
griszAndy 8:4c2a5a2b2a80 1171 col4=1;
griszAndy 8:4c2a5a2b2a80 1172 col2=1;
griszAndy 8:4c2a5a2b2a80 1173 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1174 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1175 col0=0;
griszAndy 8:4c2a5a2b2a80 1176 col4=0;
griszAndy 8:4c2a5a2b2a80 1177 col2=0;
griszAndy 8:4c2a5a2b2a80 1178 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1179
griszAndy 8:4c2a5a2b2a80 1180 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1181 col4=1;
griszAndy 8:4c2a5a2b2a80 1182 col1=1;
griszAndy 8:4c2a5a2b2a80 1183 col2=1;
griszAndy 8:4c2a5a2b2a80 1184 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1185 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1186 col4=0;
griszAndy 8:4c2a5a2b2a80 1187 col1=0;
griszAndy 8:4c2a5a2b2a80 1188 col2=0;
griszAndy 8:4c2a5a2b2a80 1189 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1190
griszAndy 8:4c2a5a2b2a80 1191 floor3 = 1;
griszAndy 8:4c2a5a2b2a80 1192 col0=1;
griszAndy 8:4c2a5a2b2a80 1193 col1=1;
griszAndy 8:4c2a5a2b2a80 1194 col2=1;
griszAndy 8:4c2a5a2b2a80 1195 col4=1;
griszAndy 8:4c2a5a2b2a80 1196 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1197 floor3 = 0;
griszAndy 8:4c2a5a2b2a80 1198 col0=0;
griszAndy 8:4c2a5a2b2a80 1199 col1=0;
griszAndy 8:4c2a5a2b2a80 1200 col2=0;
griszAndy 8:4c2a5a2b2a80 1201 col4=0;
griszAndy 8:4c2a5a2b2a80 1202 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1203
griszAndy 8:4c2a5a2b2a80 1204 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1205 col0=1;
griszAndy 8:4c2a5a2b2a80 1206 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1207 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1208 col0=0;
griszAndy 8:4c2a5a2b2a80 1209 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1210
griszAndy 8:4c2a5a2b2a80 1211 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1212 col1=1;
griszAndy 8:4c2a5a2b2a80 1213 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1214 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1215 col1=0;
griszAndy 8:4c2a5a2b2a80 1216 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1217
griszAndy 8:4c2a5a2b2a80 1218 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1219 col0=1;
griszAndy 8:4c2a5a2b2a80 1220 col1=1;
griszAndy 8:4c2a5a2b2a80 1221 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1222 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1223 col0=0;
griszAndy 8:4c2a5a2b2a80 1224 col1=0;
griszAndy 8:4c2a5a2b2a80 1225 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1226
griszAndy 8:4c2a5a2b2a80 1227 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1228 col1=1;
griszAndy 8:4c2a5a2b2a80 1229 col2=1;
griszAndy 8:4c2a5a2b2a80 1230 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1231 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1232 col1=0;
griszAndy 8:4c2a5a2b2a80 1233 col2=0;
griszAndy 8:4c2a5a2b2a80 1234 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1235
griszAndy 8:4c2a5a2b2a80 1236 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1237 col0=1;
griszAndy 8:4c2a5a2b2a80 1238 col1=1;
griszAndy 8:4c2a5a2b2a80 1239 col2=1;
griszAndy 8:4c2a5a2b2a80 1240 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1241 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1242 col0=0;
griszAndy 8:4c2a5a2b2a80 1243 col1=0;
griszAndy 8:4c2a5a2b2a80 1244 col2=0;
griszAndy 8:4c2a5a2b2a80 1245 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1246
griszAndy 8:4c2a5a2b2a80 1247 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1248 col3=1;
griszAndy 8:4c2a5a2b2a80 1249 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1250 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1251 col3=0;
griszAndy 8:4c2a5a2b2a80 1252 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1253
griszAndy 8:4c2a5a2b2a80 1254 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1255 col0=1;
griszAndy 8:4c2a5a2b2a80 1256 col1=1;
griszAndy 8:4c2a5a2b2a80 1257 col3=1;
griszAndy 8:4c2a5a2b2a80 1258 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1259 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1260 col0=0;
griszAndy 8:4c2a5a2b2a80 1261 col1=0;
griszAndy 8:4c2a5a2b2a80 1262 col3=0;
griszAndy 8:4c2a5a2b2a80 1263 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1264
griszAndy 8:4c2a5a2b2a80 1265 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1266 col3=1;
griszAndy 8:4c2a5a2b2a80 1267 col2=1;
griszAndy 8:4c2a5a2b2a80 1268 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1269 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1270 col3=0;
griszAndy 8:4c2a5a2b2a80 1271 col2=0;
griszAndy 8:4c2a5a2b2a80 1272 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1273
griszAndy 8:4c2a5a2b2a80 1274 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1275 col0=1;
griszAndy 8:4c2a5a2b2a80 1276 col3=1;
griszAndy 8:4c2a5a2b2a80 1277 col2=1;
griszAndy 8:4c2a5a2b2a80 1278 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1279 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1280 col0=0;
griszAndy 8:4c2a5a2b2a80 1281 col3=0;
griszAndy 8:4c2a5a2b2a80 1282 col2=0;
griszAndy 8:4c2a5a2b2a80 1283 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1284
griszAndy 8:4c2a5a2b2a80 1285 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1286 col4=1;
griszAndy 8:4c2a5a2b2a80 1287 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1288 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1289 col4=0;
griszAndy 8:4c2a5a2b2a80 1290 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1291
griszAndy 8:4c2a5a2b2a80 1292 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1293 col0=1;
griszAndy 8:4c2a5a2b2a80 1294 col4=1;
griszAndy 8:4c2a5a2b2a80 1295 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1296 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1297 col0=0;
griszAndy 8:4c2a5a2b2a80 1298 col4=0;
griszAndy 8:4c2a5a2b2a80 1299 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1300
griszAndy 8:4c2a5a2b2a80 1301 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1302 col1=1;
griszAndy 8:4c2a5a2b2a80 1303 col4=1;
griszAndy 8:4c2a5a2b2a80 1304 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1305 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1306 col1=0;
griszAndy 8:4c2a5a2b2a80 1307 col4=0;
griszAndy 8:4c2a5a2b2a80 1308 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1309
griszAndy 8:4c2a5a2b2a80 1310 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1311 col0=1;
griszAndy 8:4c2a5a2b2a80 1312 col4=1;
griszAndy 8:4c2a5a2b2a80 1313 col2=1;
griszAndy 8:4c2a5a2b2a80 1314 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1315 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1316 col0=0;
griszAndy 8:4c2a5a2b2a80 1317 col4=0;
griszAndy 8:4c2a5a2b2a80 1318 col2=0;
griszAndy 8:4c2a5a2b2a80 1319 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1320
griszAndy 8:4c2a5a2b2a80 1321 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1322 col4=1;
griszAndy 8:4c2a5a2b2a80 1323 col1=1;
griszAndy 8:4c2a5a2b2a80 1324 col2=1;
griszAndy 8:4c2a5a2b2a80 1325 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1326 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1327 col4=0;
griszAndy 8:4c2a5a2b2a80 1328 col1=0;
griszAndy 8:4c2a5a2b2a80 1329 col2=0;
griszAndy 8:4c2a5a2b2a80 1330 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1331
griszAndy 8:4c2a5a2b2a80 1332 floor4 = 1;
griszAndy 8:4c2a5a2b2a80 1333 col0=1;
griszAndy 8:4c2a5a2b2a80 1334 col1=1;
griszAndy 8:4c2a5a2b2a80 1335 col2=1;
griszAndy 8:4c2a5a2b2a80 1336 col4=1;
griszAndy 8:4c2a5a2b2a80 1337 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1338 floor4 = 0;
griszAndy 8:4c2a5a2b2a80 1339 col0=0;
griszAndy 8:4c2a5a2b2a80 1340 col1=0;
griszAndy 8:4c2a5a2b2a80 1341 col2=0;
griszAndy 8:4c2a5a2b2a80 1342 col4=0;
griszAndy 8:4c2a5a2b2a80 1343 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1344
griszAndy 8:4c2a5a2b2a80 1345 floor5 = 1;
griszAndy 8:4c2a5a2b2a80 1346 col1=1;
griszAndy 8:4c2a5a2b2a80 1347 col2=1;
griszAndy 8:4c2a5a2b2a80 1348 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1349 floor5 = 0;
griszAndy 8:4c2a5a2b2a80 1350 col1=0;
griszAndy 8:4c2a5a2b2a80 1351 col2=0;
griszAndy 8:4c2a5a2b2a80 1352 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1353
griszAndy 8:4c2a5a2b2a80 1354 floor5 = 1;
griszAndy 8:4c2a5a2b2a80 1355 col1=1;
griszAndy 8:4c2a5a2b2a80 1356 col2=1;
griszAndy 8:4c2a5a2b2a80 1357 col0=1;
griszAndy 8:4c2a5a2b2a80 1358 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1359 floor5 = 0;
griszAndy 8:4c2a5a2b2a80 1360 col1=0;
griszAndy 8:4c2a5a2b2a80 1361 col2=0;
griszAndy 8:4c2a5a2b2a80 1362 col0=0;
griszAndy 8:4c2a5a2b2a80 1363 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1364
griszAndy 8:4c2a5a2b2a80 1365 floor5 = 1;
griszAndy 8:4c2a5a2b2a80 1366 col3=1;
griszAndy 8:4c2a5a2b2a80 1367 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1368 floor5 = 0;
griszAndy 8:4c2a5a2b2a80 1369 col3=0;
griszAndy 8:4c2a5a2b2a80 1370 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1371
griszAndy 8:4c2a5a2b2a80 1372 floor5 = 1;
griszAndy 8:4c2a5a2b2a80 1373 col4=1;
griszAndy 8:4c2a5a2b2a80 1374 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1375 floor5 = 0;
griszAndy 8:4c2a5a2b2a80 1376 col4=0;
griszAndy 8:4c2a5a2b2a80 1377 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1378
griszAndy 8:4c2a5a2b2a80 1379 floor5 = 1;
griszAndy 8:4c2a5a2b2a80 1380 col4=1;
griszAndy 8:4c2a5a2b2a80 1381 col0=1;
griszAndy 8:4c2a5a2b2a80 1382 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1383 floor5 = 0;
griszAndy 8:4c2a5a2b2a80 1384 col4=0;
griszAndy 8:4c2a5a2b2a80 1385 col0=0;
griszAndy 8:4c2a5a2b2a80 1386 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1387
griszAndy 8:4c2a5a2b2a80 1388 floor5 = 1;
griszAndy 8:4c2a5a2b2a80 1389 col4=1;
griszAndy 8:4c2a5a2b2a80 1390 col1=1;
griszAndy 8:4c2a5a2b2a80 1391 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1392 floor5 = 0;
griszAndy 8:4c2a5a2b2a80 1393 col4=0;
griszAndy 8:4c2a5a2b2a80 1394 col1=0;
griszAndy 8:4c2a5a2b2a80 1395 wait_us(208);
griszAndy 8:4c2a5a2b2a80 1396
griszAndy 8:4c2a5a2b2a80 1397 }/* else if (618<max[0] && max[0]<635) {
griszAndy 8:4c2a5a2b2a80 1398 x="sexto patron";
griszAndy 8:4c2a5a2b2a80 1399 } else if (775<max[0] && max[0]<805) {
griszAndy 8:4c2a5a2b2a80 1400 x="septimo patron";
griszAndy 8:4c2a5a2b2a80 1401 } else if (995<max[0] && max[0]<1025) {
griszAndy 8:4c2a5a2b2a80 1402 x="octavo patron";
griszAndy 8:4c2a5a2b2a80 1403 }*/
griszAndy 8:4c2a5a2b2a80 1404 count++;
griszAndy 8:4c2a5a2b2a80 1405 if(count == 5){
griszAndy 8:4c2a5a2b2a80 1406 pc.printf("%s",x);
griszAndy 8:4c2a5a2b2a80 1407 count = 0;
griszAndy 6:c77012d6086b 1408 }
griszAndy 6:c77012d6086b 1409
Soto 3:6c9dabbb7261 1410 max[0]=0;
Soto 3:6c9dabbb7261 1411 max[1]=0;
griszAndy 7:6b8a1382b2ee 1412
Soto 3:6c9dabbb7261 1413 // Se vuelve a incertar la interrupción de muestreo
frankvnk 0:0c037aff5039 1414 samplingBegin();
frankvnk 0:0c037aff5039 1415 }
frankvnk 0:0c037aff5039 1416 }
Soto 3:6c9dabbb7261 1417 }