mbed library sources. Supersedes mbed-src.

Dependents:   Nucleo_Hello_Encoder BLE_iBeaconScan AM1805_DEMO DISCO-F429ZI_ExportTemplate1 ... more

Committer:
Anna Bridge
Date:
Wed May 10 12:06:41 2017 +0100
Revision:
164:289d4deac6e4
Parent:
149:156823d33999
This updates the lib to the mbed lib v142

Who changed what in which revision?

UserRevisionLine numberNew contents of line
<> 144:ef7eb2e8f9f7 1 /*******************************************************************************
<> 144:ef7eb2e8f9f7 2 * Copyright (C) 2015 Maxim Integrated Products, Inc., All Rights Reserved.
<> 144:ef7eb2e8f9f7 3 *
<> 144:ef7eb2e8f9f7 4 * Permission is hereby granted, free of charge, to any person obtaining a
<> 144:ef7eb2e8f9f7 5 * copy of this software and associated documentation files (the "Software"),
<> 144:ef7eb2e8f9f7 6 * to deal in the Software without restriction, including without limitation
<> 144:ef7eb2e8f9f7 7 * the rights to use, copy, modify, merge, publish, distribute, sublicense,
<> 144:ef7eb2e8f9f7 8 * and/or sell copies of the Software, and to permit persons to whom the
<> 144:ef7eb2e8f9f7 9 * Software is furnished to do so, subject to the following conditions:
<> 144:ef7eb2e8f9f7 10 *
<> 144:ef7eb2e8f9f7 11 * The above copyright notice and this permission notice shall be included
<> 144:ef7eb2e8f9f7 12 * in all copies or substantial portions of the Software.
<> 144:ef7eb2e8f9f7 13 *
<> 144:ef7eb2e8f9f7 14 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
<> 144:ef7eb2e8f9f7 15 * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
<> 144:ef7eb2e8f9f7 16 * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.
<> 144:ef7eb2e8f9f7 17 * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES
<> 144:ef7eb2e8f9f7 18 * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE,
<> 144:ef7eb2e8f9f7 19 * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
<> 144:ef7eb2e8f9f7 20 * OTHER DEALINGS IN THE SOFTWARE.
<> 144:ef7eb2e8f9f7 21 *
<> 144:ef7eb2e8f9f7 22 * Except as contained in this notice, the name of Maxim Integrated
<> 144:ef7eb2e8f9f7 23 * Products, Inc. shall not be used except as stated in the Maxim Integrated
<> 144:ef7eb2e8f9f7 24 * Products, Inc. Branding Policy.
<> 144:ef7eb2e8f9f7 25 *
<> 144:ef7eb2e8f9f7 26 * The mere transfer of this software does not imply any licenses
<> 144:ef7eb2e8f9f7 27 * of trade secrets, proprietary technology, copyrights, patents,
<> 144:ef7eb2e8f9f7 28 * trademarks, maskwork rights, or any other form of intellectual
<> 144:ef7eb2e8f9f7 29 * property whatsoever. Maxim Integrated Products, Inc. retains all
<> 144:ef7eb2e8f9f7 30 * ownership rights.
<> 144:ef7eb2e8f9f7 31 *******************************************************************************
<> 144:ef7eb2e8f9f7 32 */
<> 144:ef7eb2e8f9f7 33
<> 144:ef7eb2e8f9f7 34 #ifndef MBED_PINNAMES_H
<> 144:ef7eb2e8f9f7 35 #define MBED_PINNAMES_H
<> 144:ef7eb2e8f9f7 36
<> 144:ef7eb2e8f9f7 37 #include "cmsis.h"
<> 144:ef7eb2e8f9f7 38 #include "gpio_regs.h"
<> 144:ef7eb2e8f9f7 39
<> 144:ef7eb2e8f9f7 40 #ifdef __cplusplus
<> 144:ef7eb2e8f9f7 41 extern "C" {
<> 144:ef7eb2e8f9f7 42 #endif
<> 144:ef7eb2e8f9f7 43
<> 144:ef7eb2e8f9f7 44 typedef enum {
<> 144:ef7eb2e8f9f7 45 PIN_INPUT = MXC_V_GPIO_OUT_MODE_HIGH_Z,
<> 144:ef7eb2e8f9f7 46 PIN_OUTPUT = MXC_V_GPIO_OUT_MODE_NORMAL_DRIVE
<> 144:ef7eb2e8f9f7 47 } PinDirection;
<> 144:ef7eb2e8f9f7 48
<> 144:ef7eb2e8f9f7 49 #define PORT_SHIFT 12
<> 144:ef7eb2e8f9f7 50 #define PINNAME_TO_PORT(name) ((unsigned int)(name) >> PORT_SHIFT)
<> 144:ef7eb2e8f9f7 51 #define PINNAME_TO_PIN(name) ((unsigned int)(name) & ~(0xFFFFFFFF << PORT_SHIFT))
<> 144:ef7eb2e8f9f7 52
<> 144:ef7eb2e8f9f7 53 typedef enum {
<> 144:ef7eb2e8f9f7 54 P0_0 = (0 << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 55 P0_1 = (0 << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 56 P0_2 = (0 << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 57 P0_3 = (0 << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 58 P0_4 = (0 << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 59 P0_5 = (0 << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 60 P0_6 = (0 << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 61 P0_7 = (0 << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 62
<> 144:ef7eb2e8f9f7 63 P1_0 = (1 << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 64 P1_1 = (1 << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 65 P1_2 = (1 << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 66 P1_3 = (1 << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 67 P1_4 = (1 << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 68 P1_5 = (1 << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 69 P1_6 = (1 << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 70 P1_7 = (1 << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 71
<> 144:ef7eb2e8f9f7 72 P2_0 = (2 << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 73 P2_1 = (2 << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 74 P2_2 = (2 << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 75 P2_3 = (2 << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 76 P2_4 = (2 << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 77 P2_5 = (2 << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 78 P2_6 = (2 << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 79 P2_7 = (2 << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 80
<> 144:ef7eb2e8f9f7 81 P3_0 = (3 << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 82 P3_1 = (3 << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 83 P3_2 = (3 << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 84 P3_3 = (3 << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 85 P3_4 = (3 << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 86 P3_5 = (3 << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 87 P3_6 = (3 << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 88 P3_7 = (3 << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 89
<> 144:ef7eb2e8f9f7 90 P4_0 = (4 << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 91 P4_1 = (4 << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 92 P4_2 = (4 << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 93 P4_3 = (4 << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 94 P4_4 = (4 << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 95 P4_5 = (4 << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 96 P4_6 = (4 << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 97 P4_7 = (4 << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 98
<> 144:ef7eb2e8f9f7 99 P5_0 = (5 << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 100 P5_1 = (5 << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 101 P5_2 = (5 << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 102 P5_3 = (5 << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 103 P5_4 = (5 << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 104 P5_5 = (5 << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 105 P5_6 = (5 << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 106 P5_7 = (5 << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 107
<> 144:ef7eb2e8f9f7 108 P6_0 = (6 << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 109 P6_1 = (6 << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 110 P6_2 = (6 << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 111 P6_3 = (6 << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 112 P6_4 = (6 << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 113 P6_5 = (6 << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 114 P6_6 = (6 << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 115 P6_7 = (6 << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 116
<> 144:ef7eb2e8f9f7 117 P7_0 = (7 << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 118 P7_1 = (7 << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 119 P7_2 = (7 << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 120 P7_3 = (7 << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 121 P7_4 = (7 << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 122 P7_5 = (7 << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 123 P7_6 = (7 << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 124 P7_7 = (7 << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 125
<> 144:ef7eb2e8f9f7 126 // Analog ADC pins
<> 144:ef7eb2e8f9f7 127 AIN_0P = (0xA << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 128 AIN_1P = (0xA << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 129 AIN_2P = (0xA << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 130 AIN_3P = (0xA << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 131 AIN_4P = (0xA << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 132 AIN_5P = (0xA << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 133 AIN_6P = (0xA << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 134 AIN_7P = (0xA << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 135
<> 144:ef7eb2e8f9f7 136 AIN_0N = (0xB << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 137 AIN_1N = (0xB << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 138 AIN_2N = (0xB << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 139 AIN_3N = (0xB << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 140 AIN_4N = (0xB << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 141 AIN_5N = (0xB << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 142 AIN_6N = (0xB << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 143 AIN_7N = (0xB << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 144
<> 144:ef7eb2e8f9f7 145 // Analog differential ADC
<> 144:ef7eb2e8f9f7 146 AIN_0D = (0xC << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 147 AIN_1D = (0xC << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 148 AIN_2D = (0xC << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 149 AIN_3D = (0xC << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 150 AIN_4D = (0xC << PORT_SHIFT) | 4,
<> 144:ef7eb2e8f9f7 151 AIN_5D = (0xC << PORT_SHIFT) | 5,
<> 144:ef7eb2e8f9f7 152 AIN_6D = (0xC << PORT_SHIFT) | 6,
<> 144:ef7eb2e8f9f7 153 AIN_7D = (0xC << PORT_SHIFT) | 7,
<> 144:ef7eb2e8f9f7 154
<> 144:ef7eb2e8f9f7 155 // OPAMP Positive supply pins
<> 144:ef7eb2e8f9f7 156 AOUT_AP = (0xD << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 157 AOUT_BP = (0xD << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 158 AOUT_CP = (0xD << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 159 AOUT_DP = (0xD << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 160
<> 144:ef7eb2e8f9f7 161 // OPAMP Negative supply pins
<> 144:ef7eb2e8f9f7 162 AOUT_AN = (0xE << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 163 AOUT_BN = (0xE << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 164 AOUT_CN = (0xE << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 165 AOUT_DN = (0xE << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 166
<> 144:ef7eb2e8f9f7 167 // DAC Output pins
<> 144:ef7eb2e8f9f7 168 AOUT_AO = (0xF << PORT_SHIFT) | 0,
<> 144:ef7eb2e8f9f7 169 AOUT_BO = (0xF << PORT_SHIFT) | 1,
<> 144:ef7eb2e8f9f7 170 AOUT_CO = (0xF << PORT_SHIFT) | 2,
<> 144:ef7eb2e8f9f7 171 AOUT_DO = (0xF << PORT_SHIFT) | 3,
<> 144:ef7eb2e8f9f7 172
<> 144:ef7eb2e8f9f7 173 LED_GREEN = P6_6,
<> 144:ef7eb2e8f9f7 174 LED_RED = P7_1,
<> 144:ef7eb2e8f9f7 175 LED_YELLOW = P7_0,
<> 144:ef7eb2e8f9f7 176 LED_BLUE = P6_7,
<> 144:ef7eb2e8f9f7 177
<> 144:ef7eb2e8f9f7 178 // mbed original LED naming
<> 144:ef7eb2e8f9f7 179 LED1 = LED_RED,
<> 144:ef7eb2e8f9f7 180 LED2 = LED_GREEN,
<> 144:ef7eb2e8f9f7 181 LED3 = LED_BLUE,
<> 144:ef7eb2e8f9f7 182 LED4 = LED_YELLOW,
<> 144:ef7eb2e8f9f7 183
<> 144:ef7eb2e8f9f7 184 // Push button
<> 144:ef7eb2e8f9f7 185 SW2 = P6_4,
<> 144:ef7eb2e8f9f7 186 SW3 = P6_5,
Anna Bridge 164:289d4deac6e4 187 // Standardized button names
Anna Bridge 164:289d4deac6e4 188 BUTTON1 = SW2,
Anna Bridge 164:289d4deac6e4 189 BUTTON2 = SW3,
<> 144:ef7eb2e8f9f7 190
<> 144:ef7eb2e8f9f7 191 // UART pins
<> 144:ef7eb2e8f9f7 192 USBTX = P7_3,
<> 144:ef7eb2e8f9f7 193 USBRX = P7_2,
<> 144:ef7eb2e8f9f7 194 STDIO_UART_TX = USBTX,
<> 144:ef7eb2e8f9f7 195 STDIO_UART_RX = USBRX,
<> 144:ef7eb2e8f9f7 196
<> 144:ef7eb2e8f9f7 197 // I2C pins
<> 144:ef7eb2e8f9f7 198 I2C0_SCL = P2_5,
<> 144:ef7eb2e8f9f7 199 I2C0_SDA = P2_4,
<> 144:ef7eb2e8f9f7 200
<> 144:ef7eb2e8f9f7 201 I2C1_SCL = P2_7,
<> 144:ef7eb2e8f9f7 202 I2C1_SDA = P2_6,
<> 144:ef7eb2e8f9f7 203
<> 144:ef7eb2e8f9f7 204 // UART pins
<> 144:ef7eb2e8f9f7 205 UART0_RX = P1_0,
<> 144:ef7eb2e8f9f7 206 UART0_TX = P1_1,
<> 144:ef7eb2e8f9f7 207 UART0_CTS = P1_2,
<> 144:ef7eb2e8f9f7 208 UART0_RTS = P1_3,
<> 144:ef7eb2e8f9f7 209
<> 144:ef7eb2e8f9f7 210 UART1_RX = P1_2,
<> 144:ef7eb2e8f9f7 211 UART1_TX = P1_3,
<> 144:ef7eb2e8f9f7 212 UART1_CTS = P2_6,
<> 144:ef7eb2e8f9f7 213 UART1_RTS = P2_7,
<> 144:ef7eb2e8f9f7 214
<> 144:ef7eb2e8f9f7 215 // SPI pins
<> 144:ef7eb2e8f9f7 216 SPI0_SCK = P6_0,
<> 144:ef7eb2e8f9f7 217 SPI0_MOSI = P6_1,
<> 144:ef7eb2e8f9f7 218 SPI0_MISO = P6_2,
<> 144:ef7eb2e8f9f7 219 SPI0_SS = P6_3,
<> 144:ef7eb2e8f9f7 220
<> 144:ef7eb2e8f9f7 221 SPI2_SCK = P2_0,
<> 144:ef7eb2e8f9f7 222 SPI2_MOSI = P2_1,
<> 144:ef7eb2e8f9f7 223 SPI2_MISO = P2_2,
<> 144:ef7eb2e8f9f7 224 SPI2_SS = P2_3,
<> 144:ef7eb2e8f9f7 225
<> 144:ef7eb2e8f9f7 226 // Arduino Headers
<> 144:ef7eb2e8f9f7 227 D0 = P1_0,
<> 144:ef7eb2e8f9f7 228 D1 = P1_1,
<> 144:ef7eb2e8f9f7 229 D2 = P1_2,
<> 144:ef7eb2e8f9f7 230 D3 = P1_3,
<> 144:ef7eb2e8f9f7 231 D4 = P1_4,
<> 144:ef7eb2e8f9f7 232 D5 = P1_5,
<> 144:ef7eb2e8f9f7 233 D6 = P1_6,
<> 144:ef7eb2e8f9f7 234 D7 = P1_7,
<> 144:ef7eb2e8f9f7 235 D8 = P2_5,
<> 144:ef7eb2e8f9f7 236 D9 = P2_4,
<> 144:ef7eb2e8f9f7 237 D10 = P2_3,
<> 144:ef7eb2e8f9f7 238 D11 = P2_1,
<> 144:ef7eb2e8f9f7 239 D12 = P2_2,
<> 144:ef7eb2e8f9f7 240 D13 = P2_0,
<> 144:ef7eb2e8f9f7 241 D14 = P2_6,
<> 144:ef7eb2e8f9f7 242 D15 = P2_7,
<> 144:ef7eb2e8f9f7 243 A0 = AIN_0P,
<> 144:ef7eb2e8f9f7 244 A1 = AIN_1P,
<> 144:ef7eb2e8f9f7 245 A2 = AIN_2P,
<> 144:ef7eb2e8f9f7 246 A3 = AIN_3P,
<> 144:ef7eb2e8f9f7 247 A4 = AIN_4P,
<> 144:ef7eb2e8f9f7 248 A5 = AIN_5P,
<> 144:ef7eb2e8f9f7 249
<> 144:ef7eb2e8f9f7 250 // Not connected
<> 144:ef7eb2e8f9f7 251 NC = (int)0xFFFFFFFF
<> 144:ef7eb2e8f9f7 252 } PinName;
<> 144:ef7eb2e8f9f7 253
<> 144:ef7eb2e8f9f7 254 typedef enum {
<> 144:ef7eb2e8f9f7 255 PullUp,
<> 144:ef7eb2e8f9f7 256 OpenDrain,
<> 144:ef7eb2e8f9f7 257 PullNone,
<> 144:ef7eb2e8f9f7 258 PullDefault = PullUp
<> 144:ef7eb2e8f9f7 259 } PinMode;
<> 144:ef7eb2e8f9f7 260
<> 144:ef7eb2e8f9f7 261 typedef enum {
<> 144:ef7eb2e8f9f7 262 LED_ON = 0,
<> 144:ef7eb2e8f9f7 263 LED_OFF = 1
<> 144:ef7eb2e8f9f7 264 } LedStates;
<> 144:ef7eb2e8f9f7 265
<> 144:ef7eb2e8f9f7 266 #ifdef __cplusplus
<> 144:ef7eb2e8f9f7 267 }
<> 144:ef7eb2e8f9f7 268 #endif
<> 144:ef7eb2e8f9f7 269
<> 144:ef7eb2e8f9f7 270 #endif